国产精品久久久久久久久,四季AV一区二区夜夜嗨,日韩精品无码中文字幕电影,www.五月婷婷.com

產(chǎn)品簡(jiǎn)介
Leybold Coolvac 2000 iCL
Leybold Coolvac 2000 iCL
產(chǎn)品價(jià)格:¥5
上架日期:2024-08-23 09:17:12
產(chǎn)地:歐美
發(fā)貨地:廈門(mén)
供應(yīng)數(shù)量:不限
最少起訂:1件
瀏覽量:42
資料下載:暫無(wú)資料下載
其他下載:暫無(wú)相關(guān)下載
詳細(xì)說(shuō)明
    LEP Ludl Electronic Products 73000400 RS232 INT PCB Card CPU80535 6000166 Used1Plansee 17319860 Strike Plate Serrated Axcelis GSD High Current Implanter New18AMAT Applied Materials 0040-02954 Mounting Spacer Ring DTCU Used Working1AMAT Applied Materials 0020-04160 Spacer Circular EXT Cathode New Surplus2KLA Instruments 710-677928-00 Robot Distribution PCB 073-650938-00 Used Working1Synergy Microsystems 0090-76110 V21 VME PCB Card AMAT Precision 5000 Refurbished1Tylan General FC-260V Mass Flow Controller MFC FC-260 150 SCCM SIH4 Refurbished1Millipore FC-260 Mass Flow Controller MFC 150 SCCM SIH4 Refurbished1ASM Advanced Semiconductor Materials LVC-414 Vaporizer 16g/mn SIHCL3 Refurbished1ASM Advanced Semiconductor Materials LVC-414 Vaporizer 15g/mn SIHCL3 Refurbished1Leybold ARS 16-25 Exhaust Filter with Lubricant Return D16BCS D25BCS New Surplus1Southwest Quartz G6876 Quartz Window Hand Off Lid AMAT Centura CVD New Surplus2Leybold 72142082 RUVAC Blower Pump System Controller 721-42-082 Used Working1Kevex Instruments 130017-00E ADC Analog-to-Digital Converter VME PCB Card Used1SVG Silicon Valley Group 80084B Extender Board PCB Used Working2THK RSR12WVMUU+190LM Linear Motion Guide KLA-Tencor 260-660608-00 New Surplus1Fleetguard Nelson 34K21TTP Universal Silencer CCS-1 1/4 with Filter 810471 New1Kevex Instruments 51300663 Pulse Processor XRF1 XRF2 PCB Card 50300270-D Used2MKS Instruments 127AA-00001E Baratron Pressure Transducer 1350-01005 Refurbished1Tylan FC-2900M Mass Flow Controller MFC 2900 Series 500 SCCM SIH4 Refurbished1Aera FC-D980C Mass Flow Controller MFC 200 SCCM Ar Refurbished1Thermo Electron 130049-00 Omicron X-Flag Flexible Cable Sensor F030049-00-E New1AMAT Applied Materials 0200-39140 Ceramic Metal DPS 200mm Ring Focus Refurbished1Osram 1000Q/T6/RTP/C Photo Optic Lamp AMAT 1010-00666 Reseller Lot of 18 New1Varian E11041340 Pressure Gauge Distribution Manifold Assembly New1Novellus Systems 116437-R-C PEP 3510 Lower Chamber Body Refurbished1Pittman GM9413C555 DC Gear Motor SVG 112-019 Reseller Lot of 2 Used Working2AMAT Applied Materials 3700-03242 Shielded Slit Valve Door New Surplus1Lam Research 16-034139-00 Injector Tube Novellus STI New Surplus1Novellus 95984-R Injector Tube Novellus STI CVD Reseller Lot of 5 Refurbished1Dungs GAC-A4-4-3 Gas Pressure Switch Edwards A55635096 Reseller Lot of 2 New1AMAT Applied Materials 0010-09063 2-Axis Susceptor Calibration Display Box New1Cymer A-RP08-W07 Laser Beam Splitter 5000/6000 Series ASML New Surplus1Minimotor SA BLD 568A PWM Servo Amplifier RC 32272 BLD-568A New Surplus2Plast-O-Matic FC050B-000-1/4-PP Thermoplastic Flow Control Valve Lot of 3 New1Varian Semiconductor Equipment E11042873 150mm 6pt S-I Clamp Ring New1Varian Semiconductor Equipment E11042873 150mm 6pt S-I Clamp Ring New1MKS Instruments 253A-11087 Exhaust Throttle Valve Type 253A Used Working1Entegris 202-122-01 Fluoroware Pneumatic Diaphragm Valve Reseller Lot of 2 New1Leybold 20078736 Temperature Alarm Pressure Switch Cable Reseller Lot of 3 New1Leybold 20078735 Temperature Alarm Pressure Switch Cable Reseller Lot of 3 New1A-B Allen-Bradley 1492-CB2G050 2-Pole Circuit Breaker Reseller Lot of 5 New1Leybold 20010588 Water Hose with Adapter 95mm Reseller Lot of 3 New Surplus1Minimotor SA 3556 K BL1 Servo Motor 950658 Gear Head 38/1-246:1 New Surplus1FSI Metron 347120-0000 18MM Yellow Optical Filter GG475 Reseller Lot of 19 New1Keithley Instruments 9162-122-04B I-Meter PCB Card 9162-PAU Used Working1Keithley Instruments 9162-122-04C I-Meter PCB Card 9162-PAU Used Working1ETO ABX-A434 RF Generator Controller ABX-X355 PCB Board AMAT 0190-36677 Used1West Coast Quartz 91-00362A 8" Quartz Ring EXT CATH Metal Notched New Surplus2Tylan General FC-260 Mass Flow Controller MFC 400 SCCM 5%PH3/95%N2 Refurbished1Nederman 10561032 NEX MD Fume Extrb Arm 2 Meter Reach New Surplus1Ludl Electronic Products 73000805 Wafer Flat Notch Finder FLNOF PCB Card Used1IBM 76H4841 Pentium Socket 7 Single Board Computer SBC PCB 12J3201 No CPU Used1Ludl Electronic Products 180908 Flat Notch Finder Sensor Used Working1Lam Research 12-8000-012 Roller Leak Kit Set of 2 OnTrak New Surplus8InUSA 369102 Ozone Analyzer Motor Block Assembly CVD New Surplus1ITT Aerospace Controls 088018-000 Neo-Dyn Pressure Switch 98087-173P5S115 New1Banner Engineering VS2RN5R Receiver Sensor VS2 Series Reseller Lot of 3 New1Novellus Systems 97-4038 Display Panel Kit Planar 996-0237-05 New Surplus1Tylan General FC-260V Mass Flow Controller MFC FC-260 2 SLM N2 Refurbished1Tylan General FC-260V Mass Flow Controller MFC 400 SCCM 5%PH3/95%N2 Refurbished1Tylan General FC-2900MEP Mass Flow Controller MFC 200 SCCM N2O Used Working1Tylan General FC-2900MEP Mass Flow Controller MFC 300 SCCM NH3 Used Working1Aera FC-D981SBC Mass Flow Controller AMAT 3030-08675 10 SLM N2 Used Working1Tylan General FC-2900MEP Mass Flow Controller MFC 300 SCCM NH3 Refurbished1Tylan General FC-2902MEP Mass Flow Controller MFC 10000 SCCM HCL Refurbished1Tylan General FC-2902MEP Mass Flow Controller MFC 200 SCCM CL2 Refurbished2Leybold 72142076 RUVAC Blower Pump System Controller 721-42-076 Used Working1Tylan General FC-2960MEP Mass Flow Controller MFC 100 SCCM SIH4 Refurbished1Tylan General FC-2900M Mass Flow Controller Lam Research 797-91413-924 New1Millipore FC-2960MEP5 Mass Flow Controller Tylan 2960 5%B2H6/95%N2 Refurbished1Tylan General FC-2902MEP-T Mass Flow Controller AMAT 3030-04074 Used Working2Nova Measuring Instruments 210-48000-00 NovaScan 840 Controller Used Working1Watkins-Johnson Company 902024-001 WJ951 Input Card PCB 902023-001 Used Working1TPS 201 Pfeiffer PM 041 819 AT Turbomolecular Pump Power Supply Used Working1DuPont 620304 K8085 Non-Anodized Bonded Slit Valve Door AMAT SACVD CVD 5200 New1Pittman GM9413C506 DC Gear Motor SVG 112-001 Reseller Lot of 2 Used Working1Pittman GM9413C506 DC Gear Motor SVG 112-001 Reseller Lot of 3 Used Working1SMC CQ2B20-5D Double Acting Compact Air Cylinder AMAT 3020-01131 Lot of 6 New1GOK 01211-05 Nitrogen Pressure Regulator Inficon 8060-0018 New Surplus2Fairchild 14212-T Pneumatic Positive/Negative Biasing Relay TEL 305140-001 New3Wasco SP120-31W2B-X/8180 Vacuum Pressure Switch Leybold 20078091 New Surplus1Hosco V9928D Pressure Switch PM Series Leybold 20078091 New Surplus2TPS 301 Pfeiffer PM 041 820 AT Turbomolecular Pump Power Supply Used Working1Litbfuse FLSR 40 Time Delay Current Limiting Fuse Reseller Lot of 20 New Surplus1Leica 301-360.151-00 Objective Revolver Flex Board 301-360.151(-007)/02 Used1InUSA A399025 IN-2000 UV Lamp Driver PCB Board Used Working1AMAT Applied Materials 0020-33047 Optima P5000 MC Robot Wafer Grip Lot of 12 New1Omron E2K-C25MF2 Capacitive Proximity Sensor Switch 811-13-016 Lot of 3 New1Plast-O-Matic FC050EP-2-PP Thermoplastic Flow Control Valve Lot of 3 New Surplus2Swagelok JNWMPT4010 Vacuum Adapter NW40 to NPT1 Male Reseller Lot of 2 New1MRC Materials Research D112577 Ceramic Wafer Holder Pin Reseller Lot of 26 New1Novellus 95983-R Injector Tube Novellus 571 CVD Reseller Lot of 8 Refurbished5Entegris 1032-006 Purebond Union Fitting SCH 40 3/4" New Surplus1Inficon C100F Quadrupole RGA Sensor Probe Transpector Head Refurbished2Idec FC4A-C16R2 MicroSmart Programmable Logic Controller New Surplus1Schumacher 1463-0322G/G/F/C ATCS-15 Level Base HT Bubbler 1462-1515G ABU Used1KLA-Tencor 730-679241-00 RGB Monitor Out I/O Panel Cable 2138 New Surplus1KLA-Tencor 730-679274-00 RGB Monitor In UI Panel Cable 2138 New Surplus1KLA-Tencor 750-677365-00 Video RGB Monitor Switch Cable 2138 New Surplus1Solid State Equipment 1000461AX Pneumatic SSEC Control Board 1000-462A1 PCB Used1MRC Materials Research 880-26-000 RF Driver 880-26-101 PCB Card Used Working2AMAT Applied Materials 0040-96830 3mm Button Cathode Reseller Lot of 4 New Surplus1MKS Instruments 9520-0230 Heater Jacket 135 Watt HPS Used Working1AMAT Applied Materials 0190-11512 Particle Filter Element Lot of 2 New Surplus1AMAT Applied Materials 0040-32543 0040-32543 IPS Lower Magnet Liner Refurbished2AMAT Applied Materials 0140-38098 IPS HE Side Lower Lamp Connector Assembly Used1AMAT Applied Materials 0140-38097 IPS Lower Lamp Connector Assembly Used Working1AMAT Applied Materials 0021-03076 IPS SI Roof Support Ring Used Working1AMAT Applied Materials 0140-36490 IPS Roof Lamp Connector Wire Assembly Used1AMAT Applied Materials 0140-38099 IPS RF Side Lower Lamp Connector Assembly Used1SCP 190-170-1B EMO Alarm System Controller Board PCB 9400 170-193-A Used Working1Semy Engineering 501.01.08 DCU Nucom PCB Card Macintosh Used Working1Varian Semiconductor Equipment E1135740 PIC Support Assembly Rev. 1 New1AVK ATA2-440 Aluminum Knbed Rivet Nut Reseller Lot of 102 10 Packs New1Greene Tweed 9117-SS513 O-Ring Chemraz Reseller Lot of 19 New Surplus1Greene Tweed 9219-SS513 O-Ring Chemraz Reseller Lot of 18 New Surplus1SCP Santa Clara Plastics 40005728-00 Door Plenum Insert Front Access 9400 Used1AMAT Applied Materials 0021-39942 IPS Port Inner Pump Rev. P2 Refurbished1AMAT Applied Materials 0021-39942 IPS Port Inner Pump Rev. A Refurbished1VAT 92548-PA21-AAK1 Pneumatic Pendulum Isolation Valve 98482-R1 Untested As-Is1AMAT Applied Materials 0040-32530 IPS Upper Lamp Roof Cooling Plate Used1MKS Instruments 100314805 ISO-KF Vacuum Cross NW40 Series 31 Used Working5Varian E17016010 Antimony Kit Single Vaporizer E17015970 E43000149 New1TEL Tokyo Electron ME3-109690-B Drive Element Thermal Insulator 1130772565 New1Mykrolis RTNINGEN2PU0UPG Dispense Pump Photoresist INGEN2PU0 Refurbished2Micronics 09-00236-02 Motherboard PCB 08-00236-002A 47-0019-124 Used Working1Pall LDFGL1UCFDL12E2-K7 Filter ULTIKLEEN G2 KLEEN-CHANGE New Surplus2Tylan FC-2902MEP5-T Mass Flow Controller MFC 2SLPM H2 Refurbished1Millipore FC-2902M Mass Flow Controller MFC Tylan 2900 150 SCCM CL2 Refurbished1ASM Advanced Semiconductor Materials 1605182-R EPI Manometer Port Refurbished2ASM Advanced Semiconductor Materials 1605182-R-C EPI Manometer Port Refurbished1Metron U-715-330000-090-D Aluminium Al Gas Injection Ring Refurbished1Nippon Pillar Fitting S-8B 019119-1001 Reseller Lot of 5 10 Packs New Surplus1Nippon Pillar Fitting S-W4B 019109-912 Reseller Lot of 5 10 Packs New Surplus1Westinghouse EHD3100L Industrial Circuit Breaker EHD 14k Series C New Surplus1Westinghouse EHD3070L Industrial Circuit Breaker EHD 14k Series C New Surplus1Semitool 14837-01 4-Channel 202 Serial Board PCB Card 2601800 New Surplus2Greene Tweed 9223-SS513 O-Ring Chemraz AS-568A-223 Reseller Lot of 3 New1Entegris E8-12TFN Flaretek Elbow Tube Adapter Reseller Lot of 5 New Surplus1Leybold 72142058 RUVAC Blower Pump System Controller 721-42-058 Used Working1AMAT Applied Materials 0200-01798 TxZ Heater Lift Pin Reseller Lot of 2 New1Humphrey HTBDAK25X2"-RL Twin Piston Rod Pneumatic Cylinder HTBDAK25X2RL New1Akrion 9652001A-00 Quartz Tank Sight Tube PVDF 94110 SCP 9400 New Surplus1Gems Sensors 122341 Flow Switch Sensor 4060011A-00 New Surplus1DuPont AS-568A Kalrez UltraPure O-Ring K#012 Reseller Lot of 12 New Surplus1SCP Global Technologies 3270011E MCS PIM Interface Module Used Working2SCP Global Technologies 00033602 MCS PIM Interface Module Used Working1Carl Zeiss 44 26 90 Epiplan-APOCHROMAT Microscope Objective 150x/0.95 ∞/0 Used3TEL Tokyo Electron 1110-310763-12 Half Moon Stopper Piece #2 Used Working2Fabco-Air UE-121-X-C1-LR Pin Lift Cylinder Pancake Line Reseller Lot of 4 Used1SVG Silicon Valley Group 102077-01 Door Closed Switch PCB Assembly Refurbished2Parker Seals 2-107 FF350 Ultra Parofluor O-Ring Reseller Lot of 30 New Surplus1Greene Tweed 9323-SS513 O-Ring Chemraz AS-568A-323 Reseller Lot of 6 New1Leica 310564 15x W.F. Wide Field Microscope Eyepiece Set of 2 New Surplus1Entegris 202-69-01 Integra Pneumatic Valve 1/4" Normally Closed New Surplus1Procon Products 102E140F11XX 1/2" Brass Rotary Vane Pump Head New Surplus1Metron 811-08-003 RTD Probe w/INCONEL Fitting AMAT Applied Materials New1Metron 811-08-003A RTD Probe w/INCONEL Fitting AMAT Applied Materials New1Denichi Computer Devices EN-6138 Industrial PC 1.2GHz SBC Cognex MVS 8100 Used2SVG Silicon Valley Group M600290-01 Resistor Pack VTR7000 Used Working2Opto 22 G4PB24 24-Channel Field Control I/O Module Rack Chassis PCB New Surplus1Cole-Parmer HC205S-010 1.0 GPM 3.75 LPM Flow Meter New Surplus2SVG Silicon Valley Group 600403-02 Host Computer Interconnect PCB 80106A Used1GasTech 24-0131 H2 Detector Extender Board PCB Card ASM 720-014-10030 Used1GasTech 24-0131 H2 Detector Extender Board PCB Card ASM 720-014-10030 Used1Opto 22 G4PB32H 32-Channel Field Control I/O Module Rack Chassis PCB New1Verteq 1076349-1 Frequency Synthesizer PCB Card 1076022-1 B Used Working2SVG Silicon Valley Group 162340-001 SCR Firing Card PCB Thermco Systems Used1Semitool 16799-01 32-Bit Output Board PCB Refurbished2SCP Global Technologies 3270251A MCS 6 Cable Breakout Box Controller New1SVG Silicon Valley Group 600967-01 Cassette Status Panel PCB Thermco Used1Semy Engineering 501.01.53 CSW Eight Connection Box MYP9400006 Used Working3Mykrolis ENCOM2CN0 Polyimide Pump Controller Millipore Used Working1Mykrolis WGFG40HP1 Wafergard F-40 Cartridge Filter Entegris New Surplus1Cognex 800-5660-2 Wafer Camera 203-0099-RB acuLight II Sony XC-75 HF35A-2M1 Used1Cognex 800-5660-2 Wafer Camera 203-0099-RB acuLight II Sony XC-75 HF35A-2M1 Used1Cognex 800-5660-2 Wafer Camera 203-0099-RB acuLight II Sony XC-75 HF35A-2 Used1Cognex 800-5660-2 Wafer Camera 203-0099-RB acuLight II Sony XC-75 HF35A-2 Used1Cognex 800-5660-2 Wafer Camera 203-0099-RB acuLight II Sony XC-75? 25mm Used1Black Box IC495A SCSI Fiber Optic Extender Used Working2SCP Global Technologies 1901701B EMO Alarm System Controller PCB 9400 Used3Aviza Technology 600053-01 Analog Output PCB Card Used Working2LTD Materials 10830-000 8" Quartz Step Cover Ring DLIFT 2 Notch New2UNIT Instruments UFC-8160 Mass Flow Controller MFC 200 SCCM SIH4 Refurbished1Millipore FC-2900M-4V Mass Flow Controller MFC 100 SCCM HBR Tylan Refurbished1Semy Engineering 501.01.18 15 Input TC AMP Board PCB MYP9001005 Used Working2Carl Zeiss 000000-1108-667 Microscope Lamp Power Supply Used Working1ChemTec MAO250TAAC3 Flow Meter MAO Series New Surplus1ChemTec MAO-125-T-20 AA Flow Meter MAO Series 32950-00 New Surplus1KLA Instruments 200-000013-00 Mag Changer KLA-Tencor 2138 715-660136-00 Used1Temptronic TP03000A-2300-1 ThermoChuck System TP03000 Electroglas 4090u As-Is1Temptronic TP03000A2-TS-1 ThermoChuck Chiller TP03000 Untested As-Is1Millipore WGEN02KP1 Hand Held Robot Teach Pendant Used Working2Optrex 20481A-CEM LCD Screen PCB Generator Display Panel ETO 2580190-H Used5KLA Instruments 710-650044-20 KLA DD Board PCB Card Rev DBO KLA-Tencor 2138 Used6Horiba STEC LF-310A-EVD Liquid Mass Flow Meter TEPO AMAT 3030-05745 Used Working1Horiba STEC LF-310A-EVD Liquid Mass Flow Meter TEPO AMAT 3030-05745 Refurbished1STEC LF-410A-EVD Liquid Mass Flow Meter TEOS AMAT 3030-05743 Used Working1Celerity UFC-1660 Mass Flow Controller MFC 10 SLM O2 UNIT 1660 Refurbished1Aera FC-D980C Mass Flow Controller MFC 400 SCCM O2 Refurbished1UNIT Instruments UFC-1660 Mass Flow Controller MFC 100 SCCM Ar Refurbished1Celerity UFC-1661 Mass Flow Controller MFC AMAT 3030-00124 Refurbished1Tylan FC-2902MEP-T Mass Flow Controller MFC 2900 Series 100 SCCM CH3F Used2Tylan FC-2900MEP Mass Flow Controller MFC 2900 Series 20 SLM H2 Refurbished1KLA Instruments 710-679375-001 KLA DP Display Processor PCB Card KLA 2138 Used1KLA Instruments 710-679375-002 KLA DP Display Processor PCB Card KLA 2138 Used2Cymer E05-06080-03 Automatic Shutter Assembly 5000/6000 Series Laser ASML Used1Mykrolis RTNINGEN2PU0UPG Dispense Pump Photoresist Millipore Refurbished1Brooks Automation BM22462L01 Horizontal Transfer Assembly F33 Used Working1Edwards A38128100 3 Phase Q Controller for QDP40 Vacuum Dry Pump Used Working1SolaHD SLS-24-048T Regulated Power Supply TSLS-24-048T New Surplus1Advance Electric SAV-3240-131Ci-V238 Diaphragm Valve 31433 New Surplus1GasTech 57-0010 Remote Amplifier Board PCB 24-0314 Used Working7DNS Dainippon Screen 2-F3-82680 Wafer Spin Chuck A 80A 2F382680 New Surplus3OnTrak Systems 28-8875-038 SMIF Relay Board PCB Card - CE 96 Used Working1ASM Advanced Semiconductor Materials 1613275-R-C J Piece Vacuum Port Refurbished3PRI Automation BM22462L04 Horizontal Transfer Frame Lot of 3 Missing Parts As-Is1Wasco P110-55W3A/6982 Vacuum Pressure Switch 50008489 6/14 New Surplus3ITT Standard 5-142-03-008-063 Tube Heat Exchanger HCF Used Working2SCP Global Technology 3270371A SCP MCS-E A-D Module Used Working1Millipore YY50 32P S1 Inline Filter Wafergard Entegris New Surplus2Faulhaber Minimotor 1624E006S84 Rotation Motor 21B12 K953 ANF8-A0320 New6KLA Instruments 760-660153-00 60mm Lens Assembly KLA-Tencor 2138 Used Working1KLA Instruments 760-660153-00 60mm Lens Small Body Assembly KLA-Tencor 2138 Used1AMAT Applied Materials 0030-76062 Mitsubishi Monitor Bezel New Surplus1Parker Hannifin RV-144-02 High Purity Valve PFA/PTFE Reseller Lot of 3 New1AMAT Applied Materials 3700-02319 O-Ring Viton 2-225-S Reseller Lot of 20 New1AMAT Applied Materials 0020-40905 5000 Standard Interface Blank Lot of 2 Used1AMAT Applied Materials 3700-02329 O-Ring Duro White Reseller Lot of 4 New1Schleicher 18813562 Safety Monitoring Relay SNO 2003-120 Used Working1AMAT Applied Materials 0021-10863 IPS RF Feedthru Base Used Working1Benkan KD6CS-SA-STD Pneumatic Valve 55805701 DNS Screen 5-39-04637 New1Advance Electric SAV-3260-131CiZ Pneumatic Valve 1882 New Surplus1AMAT Applied Materials 0020-39500 Molded Pumping Plate DxZ Chamber Handle New5SCP Santa Clara Plastics FSL 2011-02 Counter Flow Heat Exchanger 9400 HP Used1RECIF Technologies ANF8 M0031 10mm Rotating Rod Notch Aligner Lot of 5 New1AMAT Applied Materials 0021-39935 IPS Upper TV Port Liner Used Working1AMAT Applied Materials 0021-39935 IPS Upper TV Port Liner Refurbished2WinSystems 400-0167-000 COM4 Interface PCB Card LPM/MCM-COM4 2003495-001 Used1AMAT Applied Materials 0040-32552 Throttle Valve Blade Refurbished1Jennings CSVF-500-0415 Adjustable RF Capacitor AMAT 0021-10751 Used Working2Leica 567050 Microscope Objective PL Fluotar 10x/0.25 ∞/- with Sleeve Used1Leica 567056 Microscope Objective PL APO 50x/0.90 ∞/0 Used Working1Carl Zeiss 44 23 34 Epiplan-NEOFLUAR Microscope Objective 10x/0.30 HD ∞/0 Used1AMAT Applied Materials 0021-10747 RF Match Capacitor Assembly Inner Coil Used1AMAT Applied Materials 0021-10744 RF Match Capacitor Assembly Outer Coil Used1AMAT Applied Materials 3700-01682 Duro White O-Ring Reseller Lot of 5 New1Santa Clara Plastics M12-1754 Communication Cable 2700 Reseller Lot of 2 New1Santa Clara Plastics 226-178-1B Interface Cable 2700 Reseller Lot of 2 New1AMAT Applied Materials 0090-36323 AC Boomerang Cover Cable Sensor Lot of 3 New1Green Tweed 9258-SC520 Chemraz O-Ring AS-568A-258 CPD 520 Reseller Lot of 4 New2AMAT Applied Materials 0021-10725 RF Connection Roof Inner Coil IPS New1Aera CA-98DP Mass Flow Controller Micro to Card Edge Adapter Lot of 2 Used1SVG Silicon Valley Group 166186-003 Gas Line Thermco Systems New Surplus1Glemco 99784AW Arc Slit Liner Hybrid 080" T15 1797716 Reseller Lot of 7 New1ChemTec MAO-125-T BB Flow Meter MAO Series New Surplus2Entegris UE4FN-1 Flaretek Elbow Tube Fluoroware Reseller Lot of 10 New1Aviza Technology 166759-002 Solenoid Harness Pack to Gas Board Cable New1AMAT Applied Materials 3700-02765 Duro Brown O-Ring Reseller Lot of 31 New1Buckley Systems 17133424 Electrode RE 11.0 CM QD33424 New Surplus1DNS Dainippon Screen 2-J3-98218 Wafer Spin Chuck 80A 80B 2J398218 Used2Ametek 256026 Stainless Steel Pressure Gauge 656231AF4CD3A00 Lot of 5 New1ASM Advanced Semiconductor Materials 04-323576A02 ATM Valve Repair Kit New1Millipore SI2M008R4 Inline Filter Wafergard II Micro Entegris New2Mykrolis WG2F06WR1 Inline Filter Wafergard II F-6 Entegris New Surplus1Fujikin FUCL-715-6.35-0.07-UP Check Valve TEL 012-000819-1 Reseller Lot of 2 New1AMAT Applied Materials 3700-02408 Duro Black O-Ring Reseller Lot of 58 New1TEL Tokyo Electron DS027-001544-1 Teflon Seal Spring 5390380107 Lot of 7 New1ASM 04-328530-03 Susceptor Ring .275" Quartz Pins SPRT Kit Lot of 3 New1AMAT Applied Materials 0040-09273 Susceptor Lift Bracket Precision 5000 New1AMAT Applied Materials 0021-39943 Liner Pump Port IPS Cleaned Refurbished2AMAT Applied Materials 0021-39943 Liner Pump Port IPS Used Working2PRI Automation 70000202 Front Panel Assembly PM421PRI Used Working2AMAT Applied Materials 0021-39972 Middle Throttle Valve Liner Used Working4AMAT Applied Materials 0021-39972 Middle Throttle Valve Liner Refurbished1AMAT Applied Materials 0021-39971 Lower Throttle Valve Liner Refurbished2AMAT Applied Materials 0021-39971 Lower Throttle Valve Liner Used Working2AMAT Applied Materials 0040-32460 Boomerang IPS Used Working3SVG Silicon Valley Group 168654-002 Plug Burn-In Bottom Double Wall 5 Zone New1Aviza Technology 603374-01 Heater Burn-In Plug Spacer Assembly Used1+GF+ George Fischer 175.315.534 Manual Diaphragm Valve 315 SYGEF Standard New1AMAT Applied Materials 3700-01883 Duro Black O-Ring Reseller Lot of 60 New1Entegris W2501TC01 Polymide Pump Trigger Cable New Surplus2Expert Semiconductor Technology 600099-01 VTR Furnace COMM To ACE Cable New1Millipore W2501CB08 Photo-250 Pump Control Cable Entegris New Surplus1Millipore W2501CB08 Photo-250 Pump Control Cable Entegris Used Working1Entegris W2501KP01 Photo-250 Pump Fastech Cable Used Working1SCP Global Technology 2261381A-00 Resistivity Probe Wire Patch SCP 9400 New1UE United Electric B54-103 9040 Temperature Switch 0-225°F New Surplus1Quantum Global Technologies 02-327347D04 Injector Flange Stepped Refurbished1SPT Microtechnologies 168507-007 Heater Jacket 4 Zone Power Receptacle Box Used1Kunkle 6010HHM01-AM Steam Pressure Relief Valve 2" I/O New Surplus1Greene Tweed 9258-SC520 Chemraz O-Ring AS-568A-268 CPD 520 New Surplus7Greene Tweed 9243-SS513 Chemraz O-Ring AS-568A-243 CPD 513 AMAT 3700-01718 New3Goulds 3SV4TA30 Vertical Multi-Stage Pump e-SV Series Edwards TPU TCS Helios New3Semitool 500048-01 Lift/Rotate Extended L/R Board PCB New Surplus1RM Industries RMI-117F White Carousel RM Control Board PCB Used Working1ATMI Packaging SP4CAAFE-070522 Dispenser NOWPak New Surplus1AMAT Applied Materials 422-59-012 Vortex Single Chamber Flange Set of 3 Used1AMAT Applied Materials 0100-01984 Wafer Orienter Board PCB Used Working2A.G. Heinze RN814-0941-2 Nikon Scope NWL860 Loader Stage Y-Guide Rail New2Semitool 243T0014-501 Lift/Rotate 12" Equinox Cable Assembly New Surplus1KLA Instruments 710-657068-20 AF Pulse Sensor Preamp Board PCB Re. EBO 2132 Used2AMAT Applied Materials 0010-76993 8" Right Cassette Reflector Handler New1Brooks Automation 001-1070-02 Z-Bot Driver Controller Board PCB Untested As-Is1AMAT Applied Materials 0200-00218 Cover Top Quartz 8" PCII New Surplus10Semitool 213T0181-543 2.0mm Reach Ring Contact with Drain Slots 200mm New10Semitool 213T0360-505 Base Contact Ring Assembly ASM BB 200x1.1x2.00mmR New3KLA Instruments 710-660800-001 NSC3 Processor PCB 073-660800-00 KLA-Tencor Used2Mitsubishi Video Copy Processor Video Printer P90W P91W Lot of 2 Damaged As-Is1AMAT Applied Materials 0190-01403 WxZ Heater TC Thermocouple New Surplus1AMAT Applied Materials 0200-00406 Bushing Pedestal RPC + Reseller Lot of 6 New1Alcab 104200 Vacuum Pump Oil Mist Eliminator Filter OME 25 S Adixen New1Andron A2KSX4140 Long Radius 45° Stainless UHV Elbow Fitting 2WK Lot of 2 New1Nor-Cal Products 2FC-ISO-300-4-1NW-80-ISO-80 Flexible Coupling 7" New Surplus1AE Advanced Energy MDX-L12M-650 DC Power Supply 3151313-002A Tested Working Unlisted1ATMI Packaging SP4CAAFE-031722 Dispenser NOWPak New Surplus3KLA-Tencor 780-08045-001 8100XP Pump Interface Kit Varian NW-40-R/O New Surplus1Quantum Clean 1385-391308-14 Shutter Assembly Refurbished2SPM FRS-ECP-000044 Splash Baffle Resist Reservoir Spider Reseller Lot of 5 New1Semitool 213T0181-561 2.0mm Reach Ring Contact with Drain Slots 200mm New7PALL LDFGLIGPDLIZFZ-K3 Ultikleen G2 Excellar Kleen-Change Filter New1KDF Electronic 880-32-000 Relay Board PCB Card 880-32-101 MRC 634 Used Working6ATMI Packaging SP4CAAFE-031721 Dispenser NOWPak New Surplus1Edwards 11.02-3250 Bored Blank Flange KF50 QF50 Lot of 20 MKS HPS Nor-Cal New1Eastern Air Devices LA23GCKC-2A1 Focus Zoom Motor KLA 740-659653-00 2132 Used2AMAT Applied Materials 0021-03526 BPSG Slotted Outer Ring New Surplus2Spectra-Physics 0093-1209 Plasma Tube Laser KLA-Tencor 780-062873-000 New1VWR International Whatman Quantitative Filter 1441 090 1441-047 Lot of 11 New1ATMI Packaging ND-EP-4-T Extended Probe Dispenser New Surplus2Denton Vacuum TAR001-0048 4 Inch Chromium Target New Surplus1Schneider Electric APC RBC11 Wafer Sorter Replacement Battery Cartridge Set New1US Digital Designs USDD-0016 ZBOT1 Vacuum Board VAC PCB Z1V1-R Used Working2Entegris N2-2 NPT Pipe Straight Union Nipple 1/8" MNPT x 1/8" MNPT Lot of 88 New1Entegris BT8-8FN-1 Tee Adapter 1/2" Flaretek x 1/2" MNPT Branch Lot of 17 New1Entegris RT4-4FN-1 Tee Adapter 1/4" Flaretek x 1/4" MNPT Run Lot of 22 New1Entegris FUT8 NPT Pipe Tee Union 1/2" FNPT Reseller Lot of 9 Fluoroware New1Entegris RT6-8FN-1 Tee Adapter 3/8" Flaretek x 1/2" MNPT Run Lot of 7 New1Entegris UE8N 1/2" Integral Ferrule Elbow Union PFA Reseller Lot of 10 New1Entegris FMC4-8 NPT Pipe Straight 1/4" FNPT x 1/2" MNPT Reseller Lot of 10 New1Entegris FMC4-6 NPT Pipe Straight 1/4" FNPT x 3/8" MNPT Reseller Lot of 16 New1Entegris N12-12 NPT Pipe Straight Union Nipple 3/4" x 3/4" MNPT Lot of 15 New1Entegris FME4-4 NPT Pipe Elbow 1/4" FNPT x 1/4" MNPT Reseller Lot of 17 New1Entegris FUE2 NPT Pipe Elbow Union 1/8" FNPT Reseller Lot of 20 New Surplus1Entegris RT6-6FN-1 Tee Adapter 3/8" Flaretek x 3/8" MNPT Reseller Lot of 12 New1Entegris FMC2-4 NPT Pipe Straight 1/8" FNPT x 1/4" MNPT Reseller Lot of 21 New1Entegris BT6-8FN-1 Tee Adapter 3/8" Flaretek x 1/2" MNPT Reseller Lot of 10 New1Entegris N8-4 NPT Pipe Straight Union Nipple 1/2" x 1/4" MNPT Lot of 13 New1Entegris RT4-6FN-1 Tee Adapter 1/4" Flaretek x 3/8" MNPT Reseller Lot of 12 New1Daito GP40 Fuse 4A 250V TEL Tokyo Electron 045-000329-1 Reseller Lot of 150 New1Motion Industries 6357589 Bearing Hub VHP Robot 16105 USB&D New Surplus4Allied 90-150-350 Red Final C Adhesive Back Disc 8" (Pk/5) Reseller Lot of 8 New1Allied 50-60130 15μm Diamond Disc Metal Bonded 8" Adhesive Back Disc New Surplus1TEL Tokyo Electron CT067-000773-1 LED Indicator APX850-24R Lot of 20 New Surplus1Varian 0391-F4156-301 Molecular Sieve Adsorbent Material Reseller Lot of 3 New1Cleveland C61065 CR End Mill CEM-SE-2 Reseller Lot of 2 New Surplus1A.G. Heinze RN814-0424 Wafer Chuck Z-Drive Belt Reseller Lot of 7 New Surplus1Semitool 219T0185-01 Ring Actuation Drive Head 150/200mm Equinox Lot of 2 New1Varian Semiconductor Equipment 102596001 REGEN Logic PCB 102596100 Used Working1Semitool 219T0207-01 Ring Actuation Fixed Rotor Assembly Equinox New Surplus1Semitool 229T0089-503 Rotary Purge Pass Thru Elec Assembly New Surplus2CKD AMD01-X55S Air Operated Liquid Valve TEL Tokyo Electron CT012-007973-1 New6Greene-Tweed 9123-SD570 O-Ring AS-568A-123 CPD 570 Reseller Lot of 17 New1Greene Tweed 9121-SD570 O-Ring AS-568A-121 CPD 570 Chemraz Lot of 17 New Surplus1Greene Tweed 9258-SC520 Chemraz O-Ring AS-568A-258 CPD 520 New Surplus1FSI International 290199-400 Electric Shuttle Board PCB 290199-200 Used Working1Semitool 16889-01 Semitool 16889-01 Servo Lift Assembly Board PCB AMAT Applied Materials New2NEC VT60LP Lamp Unit with Air Filter Projector Bulb New Surplus1Zebra 10011703 Z-Ultimate 3000T Polyester 3"x2" Label Roll Reseller Lot of 5 New1Swagelok SS-1GS4-KZ-SH-SPR Toggle Valve TEL CT012-004620-1 Lot of 6 New Surplus1Swagelok 1/8" Stainless Steel Fittings SS-2-E SS-2-SE SS-2-ST Lot of 19 New1Sanyo 8KF-A900 Battery Silicon Disk TEL CT044-001195-1 Reseller Lot of 9 New1Cognex 800-5660-1 Wafer Camera 203-0099-RB acuLight II Sony XC-75 HF35A-2M1 Used1Cognex 800-5635-1 Wafer Camera System Acumen 10012 Sony XC-75 Computar 50mm Used1ATMI Packing SC-23-21-22 SmartCap Cap Closure NOWPak Reseller Lot of 21 New1AMAT Applied Materials 0020-22839 Pin Preclean Lift Reseller Lot of 3 New1Sherer Engineering 10182011 Finger Hoop AMAT Endura 5500 Reseller Lot of 12 New1Semitool 245005-01 Equinox Spin Motor Index Sensor Board PCB New Surplus1Greene Tweed 9268-SS513 Chemraz O-Ring AS-568A-268 CPD 513 New Surplus2Swagelok 3/4" Stainless Steel Fittings SS-12-T SS-12-E SS-12-HC-1-8 Lot of 7 New1Entegris C4-6FN-1 Straight Adapter 1/4" Flaretek x 3/8" MNPT Lot of 18 New1Entegris FSU12 NPT Pipe Straight 3/4" FNPT Reseller Lot of 32 New Surplus1Patlite SEFB-402D-RYGB Indicator Light Tower 24V AC/DC New Surplus1Swagelok SS-FJ4TA4TA4-12 Smooth Bore PTFE Hose 12" Reseller Lot of 4 New1AMAT Applied Materials 3700-02187 Chemraz O-Ring Reseller Lot of 4 New1A.G. Heinze RN814-140-3 Nikon Wafer Loader Feeder Arm RP-MBV22000 New Surplus1Swagelok Stainless Steel Fittings SS-6-CP SS-8-ME Reseller Lot of 65 New Surplus1AMAT Applied Materials 3700-02355 Chemraz O-Ring Reseller Lot of 2 New1Jamco BJ06 Safety Cabinet for Flammables 6 Gallon with 1 Door Yellow B New1AMAT Applied Materials 0020-30083 BPTEOS Throttle Valve Plug New Surplus1Entegris NOWPak SmartProbe Keyed Retainer Various Fluids Reseller Lot of 41 New1Entegris BT12-12FN-1 Tee Adapter Branch 3/4" Flaretek x 3/4" MNPT Lot of 12 New1Entegris BT12-12FN-1 Tee Adapter Branch 3/4" Flaretek x 3/4" MNPT Lot of 12 New1Soft Switching Technologies DE10001A208V Dynamic Voltage Sag Corrector Mini DYSC New23Entegris NPT Pipe Elbow Union FUE4 1/4" FUE8 1/2" FUE12 3/4" FNPT Lot of 36 New1Entegris Flaretek Straight Adapter FNPT FC4-6FN-1 FC12-8FN-1 Lot of 41 New1Entegris WTA4-4PFN-1 1/4" Flaretek x 1/4" PureBond Reseller Lot of 16 New1Entegris Semiconductor Fitting 1032-065 UE6N N8-6 N12-8 Reseller Lot of 33 New1Axcelis Technologies 17111200/C Bellow Liner Assembly New Surplus1KLA Instruments 710-806051-01 Video PCB Card TEL Tokyo Electron P-8 As-Is1Prometrix 36-0276 Door Interconnect Backplane Board PCB 54-0276 KLA-Tencor Used1FSI International 290104-400 Pneumatic Chemfill Interface PCB Edwards Used4West Coast Quartz 91-00290 Quartz Pipe Mark II/MxP Poly Clamped AMAT New Surplus1West Coast Quartz 0200-00071 Insulating Pipe 200mm EXT QTZ AMAT Rev. A New2AMAT Applied Materials 0200-00071 Insulating Pipe 200mm EXT QTZ Rev. C New1Summit Electronics GX500U-6002 Power Supply Kensington Laboratories Used Working1SMC INR-244-126A Cooling Plate TEL Tokyo Electron CT1380-001135-11 New1Entegris CE300KFSK4R Gas Purification System GateKeeper New Surplus1Lam Research 718-043893-811 8 Inch Electrostatic Chuck Rev. H 9400 TCP New2ATC Aztech Controls NVT-910-00298 Gas Panel Assembly New Surplus1KLA-Tencor 0027758-000 Wafer APS2 AIT2 PCB Card 0135239-000 Untested As-Is1Optronics Engineering P99018 Microscope Video Camera Controller DEI-470 Used2Optronics Engineering E99018-0 Microscope Video Camera Controller DEI-470 Used1Optronics Engineering LX-450A Microscope Video Camera Controller Used Working1AG Associates 7100-5174-02 Oven Control Board PCB Card 7100-5174 Used Working1Swagelok HD2012004120A 8-Port Teflon Manifold with PVDF-FN-6-1K Flare Nuts New1Lam Research 853-023631-035 RF Cable 35 Foot New Surplus1Kensington Laboratories 4000-60048 SBC I/O Piggy Back Board PCB Used Working2Flowline LC52-1001 Continuous Relay Proportional Controller LC52 Series New3MKS Instruments 100004921 Bypass Valve Upgrade Kit Micrometer Viton Seals New1AMAT Applied Materials 0200-10296 Insulator Main Bulkhead Cathode DPS New2Veriflo 45200266 Diaphragm V-Block Valve 945Y2NC/NCFSFFMA New Surplus1APTech AP74005S FV4 FV4 Vertical Flow Switch AP74005S-FV4-FV4 New8Entegris ES-1C-MDT-100-012 Single Channel Module with Tee Cable New8Kensington Laboratories 4000-60043 5-Axis Motherboard Backplane PCB Used Working1RECIF Technologies SPCV Vertical Wafer Wand Holder Reseller Lot of 20 New1VAT 507051 Vacuum Valve Integrated Controller COMPL New Surplus1Ulvac Technologies 1012402 Teflon Case RF/Water Feedthru Reseller Lot of 6 New1Veeco Instruments 96F1189 Dual Motor Driver Board PCB Used Working1Ziatech ZT 8809A SBC Single Board Computer PCB Card 15-0023-01 15-0023-02 Used1AMAT Applied Materials 0190-70103 Monitor Light Pen Interconnect Board PCB New1AMAT Applied Materials 0020-30425 Insert AL Outer Pedeatal Reseller Lot of 4 New1All Flex 122347-ML 3-Layer Flex Circuit PCB 1251 0ZGB2 Reseller Lot of 18 New1AMAT Applied Materials 1310-01077 TC Washer Type K 70XKUGB114F Lot of 2 New1Faulhaber 1000003572 ZBOT EXT/TER Micro Motor Reseller Lot of 4 New1Celerity UFC-1661 Mass Flow Controller MFC UNIT 1661 20 SCCM CHF3 Used Working1Celerity UFC-1661 Mass Flow Controller MFC UNIT 1661 75cc O2 Refurbished1Therma-Wave 18-012206 TP500 Reference Assembly Therma-Probe TP500 Used Working1FSI International 902427-001 Pneumatic Valve Assembly 306035-001 302006-002 New2FSI International 092427-002 Pneumatic Valve Assembly 306035-001 302006-002 New2Lam Research 856-210425-001 Retro AC/DC ENCL R/B Kit Reseller Lot of 15 New1Kaydon Bearings KAA10XLO Bearing Ulvac Wrist Robot Brooks Reseller Lot of 2 New1Banner Engineering SM31RLMHS-40381 Sensor MINI-BEAM Series Reseller Lot of 3 New1Axcelis Technologies 250861 Rotator Interface Fusion Board PCB New Surplus1Cybor 512H Photo Resist Power Supply Module Model 512 Used Working1Cybor 512H Photo Resist Power Supply Module Model 512 Used Working1MKS Instruments 100761016 Single Claw Clamp Lam 796-090956-004 Lot of 21 New1Fujikin FUND-71G-6.35-2 Diaphragm Soft-Seal Valve Reseller Lot of 3 New Surplus1Yuwei SS684ZZC High Precision Instrumentation Bearing Reseller Lot of 96 New1AMAT Applied Materials 0021-09594 DPS Clamp Insulator Cathode Bulkhead New1AMAT Applied Materials 0020-35291 Plug Gas Nozzle DPS Metal Etch Lot of 4 New1Fujikin FUSDBCL-21-6.35UGC Diaphragm Soft-Seal Valve Reseller Lot of 2 New1Fujikin FUSDBCLT-21-6.35UGC Diaphragm Valve with Gas Purge Port New1Square D FLA34045 Interrupting Circuit Breaker KLA-Tencor AIT2 CPM New Surplus1Greene Tweed 5641-0108-SC513 Chemraz O-Ring CPD 513 Reseller Lot of 24 New1Greene Tweed 9320-SS513 Chemraz O-Ring AS-568A-320 CPD 513 Reseller Lot of 7 New1Ulvac Technologies 1012528 O-Ring ISO-452 SIL Reseller Lot of 14 New Surplus1ESI ES-2002-0500-120 Teflon Nebulizer MicroFlow PFA-100 with ASX-500 Probe New2Precision Alliance PMM 05-10-HT Miniature Slide Reseller Lot of 90 New1KLA-Tencor 18-007478 Laser Detector Rev. F1 8100 XP CD-SEM System Used Working1KLA-Tencor 18-007478 Laser Detector Rev. E 8100 XP CD-SEM System Used Working1KLA-Tencor 183270 Cassette SI Switch Plate 100-200mm 183270 Used Working1Swagelok NXT-DRP161AFGFG-C Large Body Radial Diaphragm Valve NC Actuator New2AMAT Applied Materials 0020-32364 Bracket Recessed Endpoint Rev. 002 New Surplus1Trebor International KM50EU-00-A Spares Rebuild Kit Reseller Lot of 6 New1Lam Research 839-030055-001 Turbo Support Block New Surplus1Axcelis Technologies 1191790 Supply HV Receiver Used Working1Brooks 14616-01 Tempered Boro Disc M800 XFER Chamber Window Ulvac 1019116 New1Lam Research 853-017824-010 TCU EMO Cable Assembly 10 Foot New1AMAT Applied Materials 0020-30420 8" Pedestal Cover New Surplus1Thermo Fisher Scientific TE-024548.4C Power Board MC008 PCB NESLAB HX150 Used1Kvaser 733-0130-00082-7 Interface Board PCIcan HS PCB Card Used Working1KLA-Tencor 600-654001-00 Heavy Blower Assembly KLA 213X Used Working1GLI Hach 3726E2T-CE Electrodeless Conductivity Sensor Edwards 415688-001 New1GLI Hach 3726E2T Electrodeless Conductivity Sensor Edwards 415688-001 New1Eaton Semiconductor 1191790 Supply HV Receiver Used Working1AMAT Applied Materials 0021-37701 Side Receiver Left 200mm 316-EP New Surplus1Dupont AS-568A O-Ring K# 010 Compound 4079 Kalrez Reseller Lot of 10 New1Omega Engineering LCHD-5K Load Cell Pancake Style Tension Compression New2Axcelis Technologies 202771 Beam Break Sensor Receiver Cassette AFT New Spare1Sunx CX-M10 Photoelectric Sensor with an Inverter Light Reseller Lot of 2 New1Ulvac Technologies WP-01 Vacuum Pirani Gauge Sensor Head New Surplus1Ulvac Technologies IS-BA1 Ion Source IS-BA1 5543 New Spare1SMC NCDQ2WB63-01-0193US Cylinder NCDQ1WB63-01-70339 AMAT 3020-01060 New Spare1Celerity UFC-1661 Mass Flow Controller MFC UNIT 1661 400 SCCM H2 Used Spare4SVG Silicon Valley Group 99-80302-01 90S Interlock Board PCB Card Used Working6Setra 2251100PGD41106 Pressure Transducer Model 225 New1Emoteq BH02301AF04HBE Brushless Servo Motor Semitool 994123 Used Working1SSAC TDS24AL Time Delay Relay Digi-Set Lot of 3 Used Working1BTI Bruce Technologies 3163361 Torch Control Interface PCB 3163360 Used1Kuhnke 40.197 Relay Board New2Fuji Electric EG104A 4-Pole 30A Earth Leakage Circuit Breaker Used Working1Semitool 16799-501 32 Bit Output Board 16799C-501 Used Working1Semitool 16750-507 32 Bit Input 302 Board 16750C-507 E Used Working2Ceramic Tech 719-250922-001 Dark Spacer Shield Refurbished2Semitool 16750-505 32 Bit Input MAG WIP 3 Ports 16750C-505 DW Board Used1L&N Leeds And Nortrup 007498 Circuit Card Semitool 14239 Used Working1Powertec 2K15D-1.3B DC Power Supply OEM II Semitool 00501761 New1Trilogy Systems CW-DB1A LEM Technology Decoder Semitool 4017-17 Used1HP Hewlett-Packard 98622-66501 16-Bit GPIO Interface Card 98622A Used1AMAT Applied Materials 678663 PCB Test Extender Used Working1Swagelok SS-FL8TA8TA8-36 Braided Hose 3 FT New1Hirschmann RH2-TX 4-Port Ethernet Rail Hub 4613-34 Used Working1Lambda LSS-36-12 Power Supply New1Digital 5013216D S.L.U. M8043 PCB Card Used Working1Semitool 16750-507 32 Bit Input 302 Board 16750C-507 Used Working1Digital 5013216C S.L.U. M8043 PCB Card Used Working1Answer Engineering 112-153 Rotary Actuator Turn-Act Used Working1Texas Instruments SN74LS123N Monostable Multivibrator Lot of 100 Used Working5Parker CM231XX-112251 AC Servo Motor Compumotor Used Working1Applied Ceramics 91-01228A Insulator Pinless 8" Quartz New5Acopian U24Y1000 Unregulated Power Supply Used Tested Working1Gbey Precision 25/045-NB16-IA-PPA-VSR1E-ABA Motor and Encoder Set Used Working1Gbey Precision Instruments A25SB16P026A06ETN Motor and Encoder Set Used Working1Gbey Precision 25/045-NB16-IA-PPA-VAR1E-AAX Motor and Encoder Set Refurbished1Dynatronix 138-0323-40 FWD REG Board JH Processor Card PCB 190-0323-03 Used Working3Dynatronix 138-0323-40 FWD REG Board SM Processor Card PCB 190-0323-03 Used Working1Dynatronix 138-1203-10 TIMING Board Processor Card PCB 190-0203-00 Used Working1Dynatronix 138-0335-03 Processor Board PCB 190-0335-01 MERLIN SE-USX Used Working2Dynatronix 138-0352-00 Thermal Contact Relay Board PCB 190-0352-00 Used Working4Solu Comp Water Quality Analyzer SCL-R-400-M32 working1Dynatronix 138-0352-01 Thermal Contact Relay Board PCB 190-0352-00 Used Working4Dynatronix 138-0353-03 Backplane Board PCB 190-0353-01 Used Working1MKS Instruments 115382 Gauge Measurement & Control 16 BIT Motherboard PCB Used1MKS Instruments D112082-G1-L Cold Cathode PCB Card D112081-E Used Working1MKS Instruments D112310-G1-G Dual Pirani/Conventron PCB Card D112389-D Used1MKS Instruments D111700-G1-G Gauge Measurement & Control PCB Card 111699-G Used1MKS Instruments 111725-G1-F Capacitance Manometer PCB Card 111724-B Used1TCP 380 Pfeiffer Vacuum PM C01 680 Turbomolecular Pump Controller Damaged As-Is1Unaxis Bellow for Rotary 42310200 W0228 New1Varian K7360301 Type 572 Ionization Gauge New1Mykrolis FC-2960MEP5 Mass Flow Controller MFC 2900 Series 200 SCCM N2 Used1Tylan FC-2960MEP5 Mass Flow Controller MFC 2900 Series 0.2 SLPM N2 Used Working1Tylan FC-2960MEP5 Mass Flow Controller MFC 2900 Series 100 SCCM N2 Used Working1Mykrolis FC-2960MEP5 Mass Flow Controller MFC 2960 Series 50 SCCM N2 Used1Tylan FC-2960-MEP5-261R Mass Flow Controller MFC 2960 Series 5 SLPM N2 Used1Celerity FC-2960MEP5 Mass Flow Controller MFC TN2960 5 SLPM N2 Used Working1Mykrolis FC-2960MEP5 Mass Flow Controller MFC 2900 Series 5 SLPM N2 Used Working5Tylan FC-2950MEP5 Mass Flow Controller MFC 797-093267-505 200 SCCM Cl2 Used1STEC SEC-7340M Mass Flow Controller MFC SEC-7340 10 SLM N2 Used Working1Mykrolis FC-2960MEP5 Mass Flow Controller MFC 2960 Series 20 SLPM N2 Used1Tylan FC-2960MEP5 Mass Flow Controller MFC 2900 Series 20 SLPM N2 Used Working2Tylan FC-2960MEP5 Mass Flow Controller MFC 2900 Series 0.05 SLPM N2 Used Working1Mykrolis FC-2960MEP5 Mass Flow Controller MFC 2900 Series 2 SLPM N2 Used Working2Tylan FC-2960MEP5 Mass Flow Controller MFC 2960 Series 20 SLPM N2 Used Working3Tylan FC-2960MEP5 Mass Flow Controller MFC 2900 Series 500 SCCM N2 Used Working3Lot of 4 Watlow Columbia 06607982 Heater Jacket New1Tylan FC-2960MEP5 Mass Flow Controller MFC 2960 Series 500 SCCM N2 Used Working1Mykrolis FC-2960MEP5 Mass Flow Controller MFC 2960 Series 500 SCCM N2 Used1Millipore FC-2960MEP5 Mass Flow Controller MFC 2960 Series 20 SLPM Used Working1Mykrolis FC-2960MEP5 Mass Flow Controller MFC 2960 Series 4 SLPM Used Working1Mykrolis FC-2960MEP5 Mass Flow Controller MFC 2900 Series 20 SLPM Used Working2Mykrolis FC-2960MEP5 Mass Flow Controller MFC 2900 Series 20 SLPM Used Working2Mykrolis FC-2960MEP5 Mass Flow Controller MFC 2960 Series 2 SLPM Used Working2Aera FC-7800 Mass Flow Controller MFC ASM 54-123806A15 500 SCCM HCl New1Applied Materials AMAT 0200-09473-B Clamp Ring New1AMAT Applied Materials 8100D System DC Power Supply 01-81913-00 Rev.E working1Applied Ceramics 91-00740A 200mm Quartz Insulator Simple New Surplus5SemiTool SRD Weldment 50 Wafr Bowl 110R0010-01 (working, used)5Laminaire Corp 5020290 LF Panel Air Filter new1MKS Signal Conditioner Type 221 221B-15494 New1Inficon SKY Capacitance Diaphragm Gauge CR090 New3Parker Filter Mega-Pure AFDA10TTCW New23Metron SO165-01 AMD 10018013 New Lot of 51Semitool Heater Blanket 60270-05 New Lot of 71HP Hewlett-Packard 1142A Probe Control and Power Module Used Working1Agilent 34970A Data Acquisition Switch Unit Base No Bezels Cards Handle Used2Agilent 34970A Data Acquisition Switch Unit with 34908A 34903A Used Working1ESI 110M-PS Diode Pumped Laser System Power Supply Lightwave Used Working1ESI 110M-PS Diode Pumped Laser System Power Supply Lightwave Used Working1MKS Instruments 121258-G1-A Pressure Controller I/O PCB Card Used Working1MKS Instruments 115367-G1-E Transducer Controller PCB Card Used Working1MKS Instruments 113594-G1-V Serial Interface PCB Card Used Working1MKS Instruments 116075-G1-D Valve Controller PCB Card Used Working1MKS Instruments 115441-G1-F Power Controller PCB Card Used Working1MKS Instruments 115780-G1-F Display Panel Knob Interface PCB Board Used Working1MKS Instruments 113591-G1E Backplane Board PCB 113591-G1-E Used Working1Kensington Laboratories 4000D-A Servo Positioning Controller Y X Axis Used1Granville-Phillips 275806-EU Mini-Convectron Vacuum Gauge Used Working4Omron GT1-AD04 Analog Unit 4 Point Input Module AD04 Used Working7UNIT Instruments UFC-1100 Mass Flow Controller MFC 5 SLM N20 Used Working1Huntington 1518 Thermocouple Vacuum Gauge Reseller Lot of 2 Used Working1Veriflo 4510011DEX Manual Regulator Valve SQHP1002PFSFF4472SCI Used Working1Edwards D37215000 Network Interface Flash Module iQDP New Surplus2Tosoh 04813-0005 PIK Insulator 8" W/FLT PC-II Refurbished1DS Techno S3L20760 TCP Quartz Window New Surplus1DS Techno S3L30296 Smooth Quartz Disc New Surplus1Air Liquide Electronics EM-5000-MD Monitor Annunciator Used Working1Edwards NGD862000 Vacuum Pump Additional Parts Cable Kit New Surplus1Omega RD8804CD Paperless Recorder and Data Acquisition System RD8800 Used3VAT 01034-KE44-0004 Mini UHV Vacuum Gate Valve Used Working1VAT 01034-KE44-0004 Mini UHV Vacuum Gate Valve Body Only As-Is1AMAT Applied Materials 0190-09731 BWCVD Motor Drive Assembly Used Working4AMAT Applied Materials 0190-09731 BWCVD Motor Drive Assembly No Valve Gear Used2AMAT Applied Materials 0010-09120 BWCVD Motor Drive Assembly Used Working1Veriflo 45400086 Manual Regulator Valve 959100W2PFSFM5447 New Surplus1Millipore SSC01A4BSM5H Pressure Transducer Solid Sense TF New Surplus1Ziatech 15TPOFO-A 15 Slot Bus Embedded Computer PCB Card Cage STD 32 ZT 200 New8TEL Tokyo Electron 10023541 Quartz Weighting Tank 32L New Surplus3Tencor Instruments Surfscan 7700 Laser Assembly JDS Uniphase 2214-30SLQTT Used1AMAT Applied Materials 0270-09227 Chamber Lid View Port 0021-02640 Used Working2Brooks Automation 001-3710-03 26VDC Power Supply 13710-03 Used Working1Brooks Automation 001-3710-03 26VDC Power Supply 13710-03 Cracked Display Used1Edwards E21909516 Vacuum System Power Cable 1.5M w/Receptacle Plug Used Working3ASM Advanced Semiconductor Materials 16-185854B01 Elbow OW Reseller Lot of 4 New1Kensington PREALIGNER Module CSMT-4 Compact Wafer Sorter w/FORESIGHT 200mm Used1Watkins Johnson 905714-001 Quad SSR Cable Interface CCA-001 Lot of 10 Used3APTech AP1410SM 2PW MV4 MV4 3.38 VS Manual Regulator Valve Used Working1VAT 09040-PE01-0001 Manual Actuator Gate Valve Used Working1KoMiCo Bellows Cover A&B (DRM) Refurbished2TEL Tokyo Electron Stainless Steel Cup Kit 4892 4889 2629 ACT8 Used Working3TEL Tokyo Electron A124180 Hard Etch Door Assembly Used Working1MKS Instruments 93-0496 TFN FEP Bellows Hose 175709-3 HPS Reseller Lot of 2 New4HP Hewlett-Packard 1660A Logic Analyzer with Probe 54620-61601 Tested Working1HP Hewlett-Packard 1670E Logic Analyzer with E5385A Calibrated Tested WorkingHP Hewlett-Packard 1670E Logic Analyzer with E5385A Calibrated Tested Working1HP Hewlett-Packard 6628A System DC Power Supply Used Tested Working1NH Research 1109122 Top Assembly Powertest S400 with 4820 Used Tested Working1Scientific Atlanta V9528015 TV Modulator Pulsar MKII MN Standard New Surplus1Emitech K650 Three Target Sputter Coater Benchtop System Chamber Quorum Used1OEM-6B ENI OEM-6B-01M5 RF Generator 650W @ 13.56MHz Tested Not Working As-Is1OEM-6B ENI OEM-6B-01M5 RF Generator 650W @ 13.56MHz Tested Not Working As-Is2SPG Motors S9R90GKL-ES12CE Reversible Motor with Gear Head S9KC15BL Used Working10Compumotor S83-135-MO Stepper Motor Gearhead NR34S-015-LB H62-9.5-1024VL Used9Compumotor S83-135-MO Stepper Motor NE34-015-LB Gearhead H62-9.5-1024VL Used2Pfeiffer Vacuum PM 051 811 AT Turbomolecular Pump Cable 192826 68 Foot 20M Used1SysMedia 1033 Teletext Calibrated Distortion Unit Used Working1Ultimetrix P4800 Power Delivery Network Analyzer Used Working1Wahl 392VXC Digital Heat-Prober Thermometer with Platinum Resistance Probe Used1Wahl 392MVX Digital Heat-Prober Thermometer with Platinum Resistance Probes Used1Oriental Motor A4484-9215KPGM Stepping Motor Vexta New1Price Pump HP75CH-425-06111-50-36-306 Close Coupled Centrifugal Pump HP75 Used1SensArray 1530A-8-0499 Process Probe Instrumented Wafer KLA-Tencor Refurbished1Swagelok JNWCP40 Vacuum Wingnut Clamp KF40 NW40 Reseller Lot of 4 New Surplus5Veriflo 45300853 Manual Regulator Valve 917 Reseller Lot of 10 New Surplus4Z-World 175-0257 Processor Board PCB 175-0264 Used Working1Applied Motion Products 1000-137 DC Microstep Drive PCB with Cosel PMC30E-1 Used1Carl Zeiss 45 28 16 MEG System Video Microscope Camera Assembly Axiotron As-Is1Swagelok JNWCP40 Vacuum Wingnut Clamp NW40 KF40 Reseller Lot of 10 New Surplus2Swagelok JNWCRSV50 Fluorocarbon Centering Ring NW50 KF50 Reseller Lot of 17 New1Swagelok Short Weld Flange JNWF40 JNWF25 NW40 NW25 Reseller Lot of 20 New1CH2500 Thermo Fisher 121121110000001 Chiller Damaged Panel Used Tested Working1CTI-Cryogenics 8112898G005 High Vacuum Pump Retrofast 8F Cryopump Dented As-Is4Optronics S97670 NTSC CCD Camera with Carl Zeiss 45 29 95 Mount MEG System Used1Carl Zeiss 45 28 34 Mount MEG System Motor Assembly Used Working1Carl Zeiss Motorized Turret MEG System Microscope 45 28 16 Incomplete As-Is1Carl Zeiss 1038-718 MEG System Microscope Lens Adapter Panel Axiotron Used1Carl Zeiss 452809-9011-050 Microscope Processor PCB MEG System Axiotron Used1Carl Zeiss 452766-9212-000 Microscope Laser Board PCB MEG System Axiotron Used1Carl Zeiss 452766-9011 Microscope Laser Sensor Board PCB MEG System Axiotron1Applied Materials AMAT 0090-35766-P1 5" DPS ESC Used1Applied Materials AMAT 0020-25077 Endura 5500 8" lower shield new1Applied Materials AMAT 0020-04277 Flange New6AMAT Applied Materials 6" Poly Quartz Cover 0200-00043 Rev.B new13Tokyo Electron A21110-309640-11 Tube Support Cover new4Applied Materials AMAT 0010-09574 Pedestal 125MM Flat New5Koganei MRSP20X500 500mm Linear Actuator new1Kloehn 19311 Syringe Pumps Motorized Injector Valves Assembly new1HP Hewlett-Packard 5501B Laser Transducer Used Working1Kikusui PIA4810 4-Slot Power Supply Controller Working2Leybold Inficon 250-332 Pneumatically Actuated Inline Valve VIP 025-X VIP025 New1ET Ebara ET300P B Turbomolecular Vacuum Pump Turbo Used Tested Working1CH1000 CHILLER Thermo Fisher 197113010000 Recirculating Silicon Thermal New1Ebara AAS300WN Dry Vacuum Pump AAS Series with Interface 210451B Tested Working1Bird 4421 Thruline RF Power Meter Not Powering On Tested As-Is1Applied Materials AMAT 0200-10044-P1 Quartz Cover 125MM New1Lam Research 740-0035-01 G8 Graphite Gasket pair new3Leybold 200-81-189-002 PowerUnit PU 113754413 working1Omega Engineering DP25-TC Digital Thermocouple Meter New1AMAT Applied Materials 0020-09933 Gas Distribution Plate New1Yaskawa Electric SGD-01AN Servo Drive Amplifier Servopack New Surplus47Applied Materials AMAT 0200-09088-C 125MM Quartz Ring New6Applied Materials AMAT 0200-09088-B??125MM quartz ring New3Immerson Heater 240V 4000W HXSL4208-08L-FR144-P111 New2Applied Materials AMAT 0020-30700 Insert Polysulfone 125MM New15HP Hewlett-Packard 59307A VHF Switch HP-1B Module working3LTD Ceramics 620T0024-01 Robot End Effector Paddle Lot of 5 Used1Contemporary Controls EXP-FOG-ST Hub New1Watlow 200mm RF Window Film Heater Thermal Chamber Substrate TF101-2-1 new6Applied Materials AMAT 0020-03431 Insert Pedestal 125MM New9Lam Research 716-011057-002 Upper Alumina Filler Ring New1Eurotherm ACMN00504/16BR-G Schenckengetriebe S102VN0440MQ10-EL-5 AC Servo Motor1Balluff MicroPulse BTL-5-P1-M0305-P-KA05 Linear Transducer 305MM New1Balluff BTL2-P1-0305-f-KA05 Linear Transducer 305MM New4AVTF-110889 400W 240V Hot Trap ISO100 Flange new1AMAT Applied Materials 0020-31147 Base Insert new2POCO S51YCZZZ AMAT 10018011 Flat Tube Outer SO168 New7Baldor M16B 91698580-10 Industrial Motor New1Baldor M16B 91751373-03 Industrial Motor New1THK GL15S16+400-C Linear Actuator 400MM Stroke New7THK GL15S16+400L 300mm Linear Actuator working2M.E.C. Tech MEC83305-1088L Focus Ring TEX Rev.A Reseller Lot of 3 New Surplus1THK GL15S16+800L-C Linear Actuator Rev.B 000M2043-01 new1Applied Materials AMAT 0020-28615 Shield 8" Low Knee New5Semitool Interface PCB 16812B New5Applied Materials AMAT 0200-36711-P1 Silicon Insert Ring 125MM New3Applied Materials AMAT 0200-00157-P1 Top ring 125MM New2Applied Materials AMAT 0200-00156 Shadow Ring New2Applied Materials AMAT 0200-09084 Quartz Shield 125MM New2Leitz Wetzlar 567012 Wetzlar Objective PL Fluotar 20x/0.45 Used Working1Leica 567050 Microscope Lens Objective PL Fluotar 10x0.25 Used Working1PolyPhaser IS-35VDC-30A-FG Impluse Suppressor new127AMAT Applied Materials 01-82622-00 5" Platen Aluminum Pedestal Assembly lot of 91AMAT Applied Materials P/N 0200-09092 Rev B Graphite Ring 125MM New Surplus5Applied Materials AMAT 0200-09092 Graphite Ring 125MM New9Applied Materials AMAT 0020-98947 Electrode EH1 New1AMAT Applied Materials 0020-82818 Aperture Plate New1Applied Materials AMAT 0020-99470 Beam Trap New4TIMNTA FMAD-0937-8010 Input Filter new1AMAT Applied Materials ION/TC Controller Box 01-81911-00 Rev.H working1Lambda Power Supply SC150U03 New12Pall Semitool Filtration Pump T948561000 Used1Automotion ALC120R-010-1111 Motor Controller 4009-14-R3E/W new1Yaskawa Electric SGDF-A2CS Sigma-Mini Servo Drive SGDF Servopack New Surplus18Daifuku RM/TFE/CONV. AGH8AA10 Controler Working1MEN 04M062-01 PCB M-Module New1Edwards N2 Exhaust Pressure Module A52856000 Used1Semitool 73301-26(FUI) Touch Screen Monitor new1Gate Heater1Pressure Sensor1Wasco SV129-31W2A-X Vacuum Switch LAM Research 853-01780-002 Lot of 8 New1Watlow 200mm RF Window Film Heater Thermal Chamber Substrate TF-101-9-1 new2Filter26LAM Research Upper Baffle Plate 8" 715-028615-001 New6FTI Finish Thompson Inc VKC80VST336 Vertical Pumps Magnetic Drive 70959-25 new4Lam Research 716-028721-281 8" Shadow DMD Bottom Wafer Clamp PLT Rev. A New1PTI Technologies Clariflow Filter 25-10310-001-4-E New24Applied Ceramics 91-01698A 200mm E-Chuck Cover 0200-40112 new20Immerson Heater 240V 4KW HX0L-4205-18L-FR72-P111 New1Javelin Electronics JE3362 Camera Chromachip with Lens 42-12-48??Used Working1Toshiba Ceramics 6621000-6665232 150 Slot Wafer Holder New1Spectroline G905N0 4" x 20" Grid Bulb new7EuroTherm 661-01-00 Power Supply Used Working1Speedfam SFI-965521 Load Cup working21Todd Products SC24-11F Component Type Custom Rectifier LR44594 Used Working1Parker MPC1140X-115184 Industrial Motor Rev.A working1Dynatronix PMC105/2-2-4/15-30 Pulse Power Supply 990-0298-151 PMC10-2-4-15-302M.E.C. Tech MEC50005-534-1 Tapered Finger Oxide Clamp Ring AMAT 0020-33739 New47Entegris S6205-0203 6.2 Gallon Overflow Tank 15" x 10.5" new Semitool1M and W Systems 052-120-5095 56C Face-Chiller Motor 70900-103M working2Pacific Scientific STF3624-5604-61-56C Motor 61104-01R refurbished1Unaxis Quartz Glass Mantle BK221252 New1Baldor M24A-91096651 3Phase Industrial Motor M35A13-672 working1Tol-O-Matic BC4#220511 Linear Actuator Lot of 4 New1Gast 965513 Vacuum Pump working1Pall T99990009000 CMP Capsule CA3/4-N-NXT-10-10U-M3F new 70004-533Pall T99990009000 CMP Capsule CA3/4-N-NXT-10-10U-M3F new 70004-532Semitool 500R0409-01 50 Wafer Carrierless Rotor Assembly 200mm refurbished1TEL Tokyo Electron 208-500101-4 CPU-86 Board working2TEL Tokyo Electron 208-500101-3 CPU-86 Board working2TEL Tokyo Electron 208-500102-3 Slave CPU Board working1M.E.C. Tech MEC81155-201A Extended Inner Overlay AMAT 0020-01044 Lot of 6 New7Semitool Motor Interface PCB 16753A-517 New3Fusion Semiconductor Interface PCB 269162 Rev. F Used Working1SMS Control PCB 0006389 Rev. A Used Working1AMAT Applied Materials 0100-40037 Rev. B Signal Conditioning Board Used Working4M.E.C. Tech MEC81156-200A Extended Lower Overlay AMAT 0020-01060 New20Semitool 16750-505 32 Bit Input MAG WIP 3 Ports Board New1Schumacher Display Control Board 1730-3013 Rev. B Used Working1Prometrix Diagnostic Board 36-0278 Rev. A Used Working1AMAT Applied Materials 0100-90724 Rev. C Guiding Tube Board Used Working1Intraplex Inc. 9547-1827-1 Data Module DS-965/DA-191A Rev. AC New1M.E.C. Tech MEC81155-202A Extended Lower Overlay AMAT 0020-01043 New4Lumonics 6050035 PC Sense and Control Board Rev.A 2001035 working1AMAT Applied Materials 03-81830-00 PROM Board 06-81830-00 Rev.E working1AMAT Applied Materials 0100-35148 Two Chamber Gas Backplane Board Rev.P1 working2AE Advanced Energy Apex Secondary Motherboard V3B 2300660-B working1MKS Instruments AS00996G-11 Common IO Controller Board Rev.02 working3AC Servo Gearmotor1Danaher Motion 256MB Single Board Computer 1107-2065 Rev.1 working1Tegal 99-187-002 Interface Board Rev.B working1TEL Tokyo Electron 208-500283-3 External RAM Board working1OPTO 22 MRC Eclipse Relay Board PB32P2 working ODC5Q1Prometrix Corp. 36-0273 Operator Interface Board Rev.A working1Millipore AT-MEGA-CHI Filter CLFG01PTF new5GE Fanuc 19D902459G1 GE Mastr IIe Power Board Rev.E working9Siemens 6ES7 432-IHF00-0AB0 Analog Output Module used working OEM Boxed1Blazers Signal Interface Board BG442310-T/F Used Working1Prometrix Control Board 36-0194 Rev. A Used Working3Daifuku TFE3419B A3419B11 PCB New2Siemens Analog Output Module 6ES7 432-IHF00-0AB0 Used Working1Prometrix H2 Theta Interface Board 36-0202 Rev. 2 Used Working1Prometrix X Motor Interface Board 36-0290 Rev. A Used Working1Applied Ceramics 911-02052A 200mm Chamber Insert New5West Coast Quartz Silicon Slab 91-01627A 0200-40013 New4Applied Ceramics 91-01768A Dome Tapered Style 0200-40218 New4Applied Ceramics 91-01721A Wall Cover 0200-40158 New4AceCo CS33-313A 715-011597-001 Upper Electrode New4nView Corp. 103018 Video Board working1Prometrix 36-0277 Load Window Interface Board Rev. 2 Used Working1Prometrix Corp. 36-0288 Z Limit Sensor Card Rev.A working1Tegal 99-186-001 Att-1 Interface Board Rev.2A working2Johnson Matthey Copper Target Plate S12460-E New1Product Systems MicroPulse Controller Used Working1Granite Microsystems IAC-H668A Compact Industrial Computer PC Used Working1Applied Ceramics 91-00787A Quartz Shadow Ring 200MM 0200-10176 New1Migatron Corp. RPS-300-36-500MT UltraSonic Proximity Sensor 73018-44 New4West Coast Quartz Silicon Slab 91-01627RW 0200-40013 Refurbished5Applied Materials AMAT 0200-30073 Ring Assembly New2KLA-Tencor 710-659465-20 Rev. B0 PCB Used Working1KLA Instruments Memory Controller Board 710-658232-00 Rev. H1 Used Working1KLA Instruments Processor Board 710-658046-20 Rev. E0 Used Working1KLA Instruments Alignment Processor Board 710-658041-20 Rev. A0 Used Working1KLA Instruments X Interpolator Board 710-658177-20 Rev. F0 Used Working1KLA Instruments X Interpolator Board 710-658177-20 Rev. C0 Used Working1KLA Instruments Alignment Processor Board 710-658036-20 Rev. A0 Used Working1KLA Instruments Y Interpolator Board 710-658172-20 Rev. J1 Used Working2KLA Instruments Defect Processor Board 710-658076-20 Rev. B0 Used Working1KLA Instruments Defect Filter Board 710-659724-00 Rev. C0 Used Working1KLA Instruments RIF Assembly Board 710-652840-20 Rev. E1 Used Working1KLA Instruments DF Board Assembly 710-658363-20 Rev. B0 Used Working1KLA Instruments Interface Board 710-658086-20 Rev. E0 Used Working1KLA Instruments DD Assembly Board 710-650044-20 Rev. C4 Used Working1M and W Systems Power Board 42-0016 Used Working2Rosemount Analytical Solu Comp Water Quality Analyzer SCL-R-400-M2 new3Water Quality Analyzer1Texas Instruments 500-5049 24VDC I/O Digital Output Card Module working1LAM Alliance 4520 ESC Copper Kit 716-140118-001-C refurbished 1358-04-01391Prometriz Corp. 36-0276 Door Interconnect Board Rev.A working1Macom M7047-2 Control Board working1MRC Materials Research 884-13-000 LED Indicator PCB Rev. B Eclipse Star Used1Tegal DIP Board 99-096-09 Rev. G Used Working1Canon CDIF Board BG8-2676 Used Working1Lucent Technologies Bottom Shield 17-112185-00 Refurbished19Pneutronics Interface Module 691-0098 Rev. B??New1Tokyo Electron PCTRE 8-25 Wafer Hand D31B10-315390-12 new4Semitool 300MM Heater Pedestal 70901-98 New1Burks Pumps Pump 35WA7-1-1 Used Working1Tosoh Endura 5500 Target 4029H-13-109-501 New8Cambridge Absolute Filter 2T-69516093-Z284-NDF New2Pentagon Tech Quartz Bell Jar Q15-0130 New2Novellus Stage Shield 04-722686-02 Refurbished11Aeronca Electronics Current Amp Board 297-142391-1 Rev. B Lot of 2 Used Working1AMAT Applied Materials Quartz Chamber Slit Valve Insert 0200-40091 New13AMAT Applied Materials Quartz Focusing Ring 0200-09741 New sealed6MKS Instruments 124A-11464 Baratron Pressure Transducer Tested Not Working As-Is1Hamamatsu C4262 Xenon Lamp Regulated Current Power Supply Used Working1Canon BG4-8615 Damper CD Board working1Daifuku A3420B11 PCB New4Novellus Lucent 04-722657-02 Clampless Bottom Shield refurbished4Novellus Lucent 04-722657-01 Sprayed Clampless Bottom Shield refurbished3Novellus Lucent 17-112194-00 Stage Shield refurbished12Novellus Lucent G17-08946-02 Clampless Deb Shield refurbished5Kachina Novellus 17-112183-00 Sprayed Clampless Deb Shield refurbished19Semitool Filter Pump Industries 12C-12 Filter Chamber new1Migatron Corp. RPS-300 Ultrasonic Ranging Proximity Sensor RPS-300-36-500T new5AMAT Applied Materials 0200-40112 E-C 200mm Quartz Cover new3TEL Tokyo Electron A21110-212028-12 Inner Tube Supporter new3Mactronix VWS-503 5-inch Wafer Sampler lot of 3 working1Hepa (9.125)(22.5)22.5EIIDG7.5E-S Hepa-Pleat II Filter new5Farinon Harris Corp. UDL-634 MUX Channel Unit Card SD-104411-001 working4Nikon / Daifuku P.C. Board KBD-2514A-1 New3AMAT Applied Materials 0010-00742 End Point Keyboard Rev. A new Precision 50001Cutler-Hammer EHD3020L Series C Circuit Breaker 6638C94G85 new 73043-91 pair4Blacoh H3120TF-TC Pulsation Dampener new1Dynatronix PMC10-1-3/20-60 PR Pulse Power Supply PMC102/1PR-1-3/1PR-20-601Hepa HEPA-PLEAT II HB30EIIUF 21/2S Filter 30" x 12" x 10" new2Hepa HEPA-PLEAT II H(231/8)331/4EIIUF21/2S Filter 33.25" x 23" x 10" new1Hitachi CCD Video Camera FP-CIU Lot of 2 Used Working1Galil Motion Control DMC-1320 PCB Card DMC-1300 Used Working1AMAT Applied Materials 0200-00155 Quartz Cathode Insulator 200MM New1Bird Electronic ACM500-M-NFNFNH Antenna and Cable Monitor 1000001286-0001 New19Keyence Hi-Res Laser Barcode Reader BL-601HA New4AMAT Applied Materials 8310 Hexode Assembly 100mm Used Working2Heateflex Corp GH015-02FP-D09 Power-to-Flow Controller Used Working1Huber UniPump II-PV External Pressure Pump??527.0004 New1Toshiba VFS7S-2007UP Transistor Inverter Used Working1AMAT Applied Materials 0200-33882 Insulating Washing R2 New1AMAT Applied materials 0020-33880 Holder Insulation R2 New surplus1Aetrium 5050S IC Handler Assembly Parts 301580 working1AMAT Applied Materials 0021-09178 Outer Can MXP+ New1NEC VL-LP6 Lamp Unit Including Air Filter MT830/1030 Lamp New3Applied Materials AMAT 0021-09179 Rev. P1 Inner Can Assembly New1AMAT Applied Materials 0250-09623 Anodized Gas Distribution Plate New surplus1Cohu 4400 Series Television Camera 8787-4 working2Applied Ceramics Double Cup Coil Support 91-01125A Lot of 11 New5Applied Ceramics Isolator Mod 91-00125A Lot of 48 New1Baldor N24A 91164064 M35A13-672 Industrial Motor1Eurotherm 655/05/453/05/453/05 Input Isolator New3Applied Materials AMAT 0240-30808 Pedestal Kit 200mm New1Hathaway Power Supply Board BLC02805-A00108 Used Working17Applied Materials AMAT 0020-25662 Screwless Clamp Shield 13" New1Semitool 73301-24 Flat Panel Touch Screen Monitor Operator Computer working2AMAT Applied Materials 0020-01058 Lower Overlay 6" Lot of 22 New1AMAT Applied Materials 0020-01059 Inner Overlay 6" Lot of 27 New1Parker Regulator PR-3-42612-2-01 Lot of 3 New1Setra 225130CPCC411B1 Pressure Transducer New4Setra Pressure Transducer 2251250PCC411B1 New3Setra Pressure Transducer 225110CPCC411B1 New5Dynatronix 138-0323-41 REV REG Board Processor Card PCB 190-0323-03 Used Working4Semitool Bimba Air Cylinder D-63536-A-2 Lot of 13 Used Working1Lakeland TychemSL White Medium Level B Chemical Suits 72130 new Lot of 67Merlin Gerin Circuit Breaker C60N 60152 Lot of 7 New1Estek Graphic Processor Board 398-18364-1 Used Working1Applied Materials AMAT 672544 Prom Board Used Working2Digilab Memory Board 012-0416, 882-0416 Rev. B Used Working1Estek Motor Controller Board 297-14264-1 Rev. B Used Working1Lakeland TychemSL White 5X-Large Level B Chemical Suits 72130 new Lot of 63Applied Materials AMAT RAM Memory Board 672532 Rev. C??Used Working1Hepa HEPA-PLEAT II H(193/4)331/4EIIUF21/2S Filter 33.25" x 19.75" x 6.25" new2Keithey 9620-FMU Power PCB working2Watlow 142A-3606-1300 Over Temperature Controller 72098-07 lot of 7 new1Chemical Suits1Tyco Electronics OpenSky AT-007195 RB Attenuator Rev. B M/A-COM lot of 25 new8Eurotherm Controls AS-170A240V14-20MA AmpStack Controller new1Eurotherm 661-01-00 Power Supply new1Eurotherm 6551R10-050041 Input Isolator 65510514531 new2EIT 4500 Gas Transmimtter 4554 096-1087L??new2Quartz International 03MRK001 Quartz Element Tube new1Mitsumi SR484 Switching Regulator lot of 2 new1M.E.C. Tech MEC81105-208A Clip Support Spacer Reseller Lot of 21 New1M and W Systems RPCE52A-SSMT Heat Exchanger Plumbing Retrofit Kit 70900-302 new1Mactronix H2CO 125mm Flat Finder lot of 4 working1Pall 5-inch Megaplast Filter Housings PFN04F08H15 new T46141-131Pall VCSC100-10M3T 10-inch Filter T93041310016 lot of 10 T46141-43 new1Pall T7100080300 Housing Filter CMP Capsule lot of 14 T46141-36 new Semitool1M.E.C. Tech MEC83305-1010A Focus Ring Reseller Lot of 84 New1Semitool Watlow 094XH001CX-891 120V 225W Immersion Heater 73080-39 working9Pall T7107010300 Housing Filter CMP Capsule lot of 18 T46141-33 new2Semitool Pacific Scientific CW00029 Brushless Motor Kit 61110-07 new1Koch S2-HFM-180-VYV Ultra Filter Element 0700094 lot of 8 70004-52 new Semitool1Pall T7107020300 Vara Clean CET Filter T46141-35 lot of 7 new1Square D 5A-Type D Merlin Gerin 60123 Circuit Breaker 73063-47 lot 12 Semitool1M.E.C. Tech MEC83305-1088L Focus Ring Reseller Lot of 4 New2Emoteq BLDC Motor Amplifier QB02300-R04-HBE lot of (5) Working1Semitool Motor Assy. Hi Torque Plating 220T0007-501 Working4Emoteq HS01001-A02-HGE BLDC Motor Amplifier Semitool T61105-47 Used Working6Emoteq BLDC Motor Amplifier HS01001-A02-HGE New1Semitool Emoteq Hathaway E3-1000-250-I Encoder Leadscrew Assembly LT01787 lot 31Nikon AFX-II Camera Exposure Control and Shutter Assembly working1MRC 883-37-000 I/O Receiver Control Board Rev.B working A1109991SUNX Sensors CX-RVM5 Photoelectric Sensor Lot of 4 New1Semitool MAC EBP44R-00-2B10 Circuit Bar lot of 10 61591-13 new1Daifuku CLS-326IAA Interface Board working3Edwards Quartz Collar Shield DW050 Refurbished1Daifuku CLB-3375A Interface PCB working MEC-40V-01Semitool Ceradyne 950T0006-01 300mm Film Heater Thermal Chamber Substrate new1Dynatronix PMC 103/1PR-5-7 Pulse Power Supply 990-0280-311 refurbished1NT International 4210-060G-F04-A00-A NT Pressure Transducer Semitool 70961-202NT International 4210-100G-F04-D12-A-P1-U1 NT Pressure Transducer 70961-106 New1NT International 4210-100G-F06-D06-A-P2-S2 NT Pressure Transducer 70961-50 New9Futurestar 148-01000-38 Pathfinder Series Flowmeter Semitool 72056-91 New7NT International 4210-100G-F04-A00-A-T07 Pressure Transducer Semitool 70961-983Applied Materials AMAT 0020-81224 Arc Chamber New1MKS Instruments 124AA-00100BB Baratron Pressure Transducer Type 124 Used4Applied Materials AMAT Versa Controller CPU Board 670560 Rev. C Used Working1SUNX Sensors CX-ND300R Photoelectric Sensor Reseller Lot of 10 Used Working3Applied Materials AMAT 0020-82550 Holder New1Semitool Air Cylinder ST72068-111 Lot of 3 New1Pall 5" Filter AB05P30018H15 Lot of 7 New1Compact Air Products Air Cylinder R34X12 Lot of 16 Used Working1LAM Research 320665100 Timing Belt Lot of 4 New1SUNX Sensors PX-24ES AGV Sensor New1Norgren Regulator Valve R22-405-RFNA New2Dynatronix FWD Reg. Board 138-1274-482 Used Working1Dynatronix FWD Reg. Board 138-1274-44 Used Working1Dynatronix Timing Board 138-1203-02 Used Working1CGI Inc. 023RNX0100-XX-23N08 Gearhead Used Working2CGI Inc. 023RNX0100-XX-23N08 Gearhead Used Working1CGI Inc. Gearhead 023RNX0100-XX-01910 New5Entegris 4210L-003G-F06-A00-A NT Pressure Transducer New Surplus9CoorsTek 100MM Semiconductor??Wafer Cover Plate 4487756001 New9FutureStar Flow Meter 148-0300 New1CoorsTek Ceramic Clamp 4489803001 New2Parker Adapter FECR-2016 Lot of 7 New1Saint-Gobain Furon VPM3-F46 Regulator Valve New1Parker Compression Tube Fitting GAFS-42 Lot of 16 New1Parker Elbow Connector FEC-6-P-T Lot of 46 New1FutureStar Flow Meter 225-0035 New3No Inbation1CoorsTek 100MM Ceramic Clamp w/ Tabs 4490797001 New1Emoteq Motor Gearbox HS01001-A01-HGE Used Working5Balluff MicroPulse Transducer BTL-5-PI-M1143-R-KA05 Used Working2Watlow Heater Blanket 1600W 11042080 60270-17 Lot of 2 New1MKS Instruments 223B-11138----S Baratron Pressure Transducer Refurbished1Clean Room Products Filter U3030A00-ADACABA New1CoorsTek 150MM Round Clamp 41100-90402-010 New1Ceramaseal 15742-01-A Feedthrough Double Quartz w/ Shutter New7Edwards Deb Shield Clampless 17-133801-00 New2Edwards 15-117029-00 Dark Space Ring Shield refurbished8Semitool Solenoid Valve Manifold 20 Stage 3-Way 61593-09 Working9Semitool Solenoid Bank 3-Way 5 Pop 15 Blnk 61593-12 Working1Semitool High Temp HEPA Filter 04MBU003 36" X 24" New4Edwards Dark Space Ring Shield 15-136402-01 Refurbished1Edwards Dark Space Ring Shield 15-112301-00 Refurbished1Finish Thompson VKC80VST348 Vertical Centrifugal Pump Used Working6Finish Thompson VKC80VST348 Vertical Centrifugal Pump Used Working1Finish Thompson VKC80VST348 Vertical Centrifugal Pump Used Working1Mactronix Wafer Prealigner Handler Sorter AWI-600 working 200mm1Hathaway BH02302-B09-HBE Brushless Servo Motor Semitool 4000-09 Used3Emoteq BH02301-AF06-HBEE Brushless Servo Motor Semitool T61105-12 Used2Square D 316-2121-003 Analog Motherboard Seriplex AMAT 0660-01506 Used2Yamato Scientific America GZY-LB Thermoregulator Semitool 10023766 Used1Unaxis Blazers 41100-92238-010 Outer Chamber Liner new3Unaxis Blazers 41100-92238-010 Outer Chamber Liner new6Balluff BCS 034-PS-1-C 03 Capacitives Proximity Sensor 119787 New2Coherent 0220-970-00 Visible Laser Module Semitool 73305-17 New1Balmac 1121A Vibration Monitor Semitool 61340-03 Used Working1Balmac 1121 Vibration Monitor Semitool 61340-03 Used Working3DNS E0R05-1068C Pulse Motor Drive V2B4C PCB Used Working2Lomac 8638-222-02 High Voltage SCM PCB Card Used1Emoteq BH02301AF04HBE Brushless Servo Motor Semitool 994103 Used Working1Furon BV1-88 2-Way Manual Valve New1Furon ACR-3W16P-OC Pneumatic Block Valve Asset A-25346 New1Ditek DTG-X-120 Surge Protection Box Used Working1Optem International HF-165 Microscope Assembly 035370 Used Working1Todd Products SC24-11F AC Power Supply New3Olympus 265442 Microscope Lens Objective Assembly Used Working1The Lee Co. LPVX0505600CA Micro Pump 672760 New2Linux 27000008-R LPI Indexer Sensor Infrared Transceiver New1Clean Room Products U3030A00-ADACACA Filter new2Clean Room Products U3030A00-ADACACA Filter new3Contemporary Controls SB871660-10B Fiber ST Linear Network PCB New1Todd Products MTX-253-0512F AC Power Supply Lot of 3 Used Working1Advantage PFFB10TTCEW PTFE Membrane Filter Cartridge Mega-Pure Series New1Cutler-Hammer HFD-65K 3-Pole Circuit Breaker New1FutureStar 220-020 Flowmeter Odyssey Series New1Lam Research 715-021051-001 4620 Upper Electrode Shroud Refurbished1Lam Research 715-028289-001 Upper Electrode Ring Clamp Refurbished7Lam Research 715-030138-001 8" Wafer Clamp Focus Ring Refurbished1Lam Research 715-028772-001 PLT BTM SLD Rev. E1 Used Working1Lam Research 715-021050-001 Ring 715-21050-001 Rev. 1 Refurbished1Lam Research 715-011531-015 Anodized Aluminum Electrode 715-11531-15 Used1Lam Research 715-011559-262 Wafer Clamp Plate New1Lam Research 713-071681-002 TMC Wafer Spacer 713-71681-2 New1Lam Research 716-443090-001 Upper Quartz E2 Ring New1Lam Research 715-011531-014 Anodized Aluminum Electrode Refurbished1Lam Research 716-330167-261 6" Bottom ACTR Clamp Ring Refurbished1Lam Research 716-028545-152 5" 90 Degree Collimator Insert Ring Refurbished1Lam Research 715-011531-008 Anodized Aluminum Electrode Used Working1Lam Research 715-011531-008 Anodized Aluminum Electrode Used Working1Lam Research 716-330122-002 Ceramic F/G Top Plate New1Lam Research 716-250080-001 150mm Upper Insulator Ring Used Working1Lam Research 716-011580-144 4" Wafer Clamp 716-11580-144 Refurbished1Lam Research 716-011651-006 6" Wafer Clamp Edge Ring Refurbished1Lam Research 716-011764-281 8" Wafer Clamp Plate New1Lam Research 716-011563-152 Wafer Clamp 716-11563-152 Used Working1Lam Research 713-011650-004 Combo Focus/Sleeve Ring Refurbished1Lam Research 716-028668-251 5" Shagow DMD Top Wafer Clamp Refurbished1Lam Research 716-028668-251 5" Shagow DMD Top Wafer Clamp Refurbished1Lam Research 716-140038-001 Ceramic Filler Ring New1Lam Research 716-004607-001 10.75 ID GND PLT.D Filler Ring Refurbished1Lam Research 716-028721-281 8" Shadow DMD Bottom Wafer Clamp PLT Rev. B New1Lam Research 716-018527-404 8" .035 Dome Plate Wafer Clamp New1Lam Research 716-029504-005 5" Wafer Clamp Edge Ring New1Lam Research 716-018527-181 Ceramic 716-18527-181 Ring Used Working1Lam Research 715-028771-001 Top SLD PL Ring Used Working1Lam Research 715-249056-001 200mm ESC Upper Confinment Ring Refurbished1Lam Research 716-011057-002 Upper Alumina Filler Ring New1Lam Research 716-347266-001 Chamber Baffle Liner Refurbished1Lam Research 716-028635-001 4" Insert Wafer Clamp Plate 716-28635-001 New1Lam Research 716-011563-156 5" Domed Wafer Clamp 716-11563-156 New1Lam Research 716-011580-151 5" Wafer Clamp Plate 716-11580-151 Refurbished1Lam Research 716-011580-151 5" Wafer Clamp Plate 716-11580-151 Refurbished1Lam Research 716-011580-144 4" Wafer Clamp Plate 716-11580-144 New1Lam Research 660-095275-002 E2 4MHz RF Match Biased Used Working1Lam Research 716-011764-181 8" Dome Wafer Clamp Plate 716-11764-181 New1Lam Research 716-011580-162 8" Wafer Clamp 716-11580-162 New1Lam Research 716-011543-002 Attachment Wafer Clamp Plate 716-11543-002 New1Lam Research 716-011759-015 5" Edge Focus Ring 716-11759-15 Refurbished2Lam Research 716-330167-181 8" ACTR Bottom Clamp Ring Refurbished1Lam Research 716-011580-146 4" Wafer Clamp Plate 716-11580-146 New2Lam Research 716-051054-001 Quartz Shield Section Top Plate New1Lam Research 716-051054-001 Quartz Shield Section Top Plate New1Lam Research 716-330890-001 8" Reactor Chamber CNTMNT New1Lam Research 716-020904-018 Lower Wafer Clamp Edge Focus Ring New1Lam Research 716-160260-001 8" BAC INSRT CARR Wafer Clamp Plate New1Lam Research 716-011759-016 6" with Pins Edge Focus Ring New2Lam Research 716-330760-002 8" MF ESC Shadow MOD Ring New1Lam Research 716-330121-014 8" FG Ceramic RF WDO Plate New1Lam Research 716-011580-141 4" Wafer Clamp Plate 716-11580-141 New1Lam Research 716-028414-014 Focus Ring 716-28414-14 New1Lam Research 716-028033-001 Elect Cap Process II EIP INSUL 816-28033-001 New1Lam Research 716-011580-244 4" Wafer Clamp Plate 716-11580-244 New1Lam Research 716-011563-063 6" Domed Wafer Clamp UNIV New1Lam Research 716-028760-001 Domed Wafer Clamp Bottom Plate New1Lam Research 716-011638-004 Thin Edge Focus Ring 716-11638-004 New1Lam Research 716-011563-166 6" Domed Wafer Clamp with Pins New1Lam Research 716-028668-053 5" Domed Wafer Clamp Plate 716-28668-053 New1Lam Research 716-031782-001 Domed Wafer Clamp New1Advanced Micropolish 122958200 CU Phase 2 AMD Assembly Lam Research New1Lam Research 713-028318-001 Ceramic Ring 713-28318-1 New1Lam Research 713-028647-149 Ring 713-28647-149 Used Working3Lam Research 715-009827-001 4" Edge Ring New1Lam Research 715-011560-001 Quartz Filler Ring Used Working1Lam Research 716-052311-002 Inner Arm Spatula End Effector New2Saphikon 666-062659-001 Thermocoupler Probe Sensor Lam Research New4VMIC 5530M VMIVME Optical Extender PCB Card Lam 810-077433-001 Used Working1Lam Research 715-051364-002 T.G. Coupler Adaptor New6Lam Research 715-051364-002 T.G. Coupler Adaptor Refurbished1Lam Research 02010-BA24 Pneumatic Slit Valve Refurbished1Lam Research 678-061017-001 Heater Cartridge Assembly 1000W Used Working2Hine Design 380877702 Ergo Loader Assembly Used Working1Lam Research 715-011531-011 Aluminum Anodized Electrode New2Lam Research 716-021049-141 Major Flat 4620 Wafer Clamp Refurbished2Lam Research 716-249040-002 150mm ESC Focus Ring Refurbished2Lam Research 716-011963-003 Plate W/C Attachment INTR.BLW Refurbished3Lam Research 716-249040-001 150mm ESC Focus Ring Refurbished1Lam Research 713-028281-003 Confinement Ring Refurbished5Lam Research 715-11746-154 Ring Assembly Refurbished1Lam Research 716-29504-6 Ring Assembly 716-29504-6 Refurbished1Lam Research 716-11750-151 Quartz Ring Assembly 71611750151 New3Lam Research 716-460953-001 Focus Ring 8" Jeida INR COV CMPST New1Lam Research 716-011763-008 Wafer Clamp Edge 8" Ring New1Lam Research 716-031535-003 Ring Clamp BOT CER ESC XL New1Lam Research 716-030140-003 Ring Assembly Rev. E2 Used Working1Lam Research 716-038657-418 ESC 8" $i3N4 Focus Ring Cover New2Lam Research 716-011889-161 Wafer Clamp Edge 60 Jeida Refurbished1Lam Research 716-330741-002 6" ESC OUT Focus Ring New1Lam Research 716-072305-002 Ceramic Ring G-INJ JETS 8x .76mm Refurbished1Lam Research 716-011750-289 8" Quartz Notch Insert Ring Refurbished2Lam Research 716-28296-001 150mm Isolator Upper 8" Refurbished1Lam Research 716-000144-001 Ceramic Ring Assembly Rev. A New1Lam Research 716-011624-005 Insulator Ring Lower Electrode RDCD HGT Rev. C New1Lam Research 716-031535-001 Ring Clamp Bottom Electrode ESC Oxide New2Lam Research 716-380017-001 Wafer Top Clamp DMD SHDW MINOR Refurbished1Lam Research 716-380017-001 Wafer Top Clamp DMD SHDW MINOR Refurbished1Lam Research 716-028489-001 Lamp Wafer 8" Shroud Refurbished1Lam Research 716-140139-218 Hi Wall H/E Ring ESC 8 Jeida Refurbished1Lam Research 716-330004-008 HI-COND 8" Focus Ring ESC Refurbished2Lam Research 810-057067-003 Interlock Flow PCB Card Assembly 810-57067 New2DRC Dynamics Research C40012 Optical Encoder PCB Lam 810-550092-001 Refurbished1Lam Research 716-011624-005 Insulator Ring Lower Electrode RDCD HGT Rev.A Used1Lam Research 715-250593-001 200mm Top Baffle Refurbished1Lam Research 715-011535-100 Upper Electrode Clamp Ring Refurbished3Lam Research 715-011746-166 W/C 6" RDCD HGT Plate Refurbished4Lam Research 716-44054-108 Alumina PLT Adapter Focus Ring Refurbished1Lam Research 716-028447-002 Ceramic Disk Rev. B New1Lam Research 716-003544-002 COV Hot Edge Ring Rework 001 to 002 New2Lam Research 715-443130-001 8" ESC Outer Focus Ring AL New1Lam Research 853-0055030-001 Motorized Valve Assembly New1Lam Research 810-057032-001 DC Servo Driver PCB Card 810-57032-001 Used Working1Lam Research 810-057032-001 DC Servo Driver PCB Card 810-57032-001 New1Brooks Automation 002-4674-009 Acutron 7 I/O PCB Panel Lam 799-901259-001 Used1Lam Research 810-17048-001 Heater Control PCB Booard 810-01704R001 Refurbished1Lam Research 810-800961-008 Dual Power Supply V-Sense Interface PCB New2Lam Research 716-029504-008 8.00 Wafer Clamp Edge Ring New7Lam Research 715-028552-001 Upper Electrode Ring Clamp New5Lam Research 716-330061-008 Gas Protective Shower Head New1Lam Research 810-017093-002 Gap Motor Control PCB Refurbished1Lam Research 716-038657-533 8" S13 N4 ESC Focus Ring New1Lam Research 716-01175-043 4" Quartz Universal Ring Insert 716-11750-43 New1Lam Research 810-495586-001 4520XL Interlock Board PCB Card Used Working1Lam Research 715-028615-002 8" Upper Baffle Plate New1Lam Research 715-011912-083 8.3" Upper Baffle Plate New1Saphikon 17087 TC Sensor Weldment Lam Research 839-051689-002 New7Lam Research 716-011754-003 Ceramic Lower Baffle Plate 716117543 Refurbished2Lam Research 716-331100-001 6" Ceramic ESC FL BOT Edge Ring New4Lam Research 713-018614-304 8" High Conductance Focus Ring Refurbished2Lam Research 716-140118-001 8" ESC Focus Ring Refurbished1Lam Research 810-057018-001 96 Pin Extender Board PCB 810-57018-001 New1Lam Research 716-025808-001 Ceramic Ring 716-25808-1 Refurbished1Lam Research 713-100180-503 Captive Electrode Confinement Ring Refurbished1Verteq Level/Pressure Sensor Sunburst Cleaner Used Working1Verteq MC-024-03 Frequency Generator Sunburst Megasonic Cleaner Used Working1Verteq MP-005-02 Process Controller Sunburst Megasonic Cleaner Used Working1Verteq MC-024-01 Frequency Generator Sunburst Megasonic Cleaner Used Working1Verteq Power Supply Sunburst Megasonic Suburst-Turbo Cleaner Used Working1Hund FLQ 75/1 Fiber Optic Light Source Used Working1Sound Vision SV Micro Microscope CCD Camera Used Working1Teli CS8620Ci CCD Microscope Camera TK5594A7 Used Working1Opto Sonderbedarf ZOOM 70XL Microscope Lens Teli CS8620Ci Camera Assembly Used1Marteq 1073728.517.1C RF Matching Transber Transducer 1084337.1 Used Working1Fiberoptic Specialties LS83/250 250W Lite Source Used Working1Verteq Process Control Unit Sunburst Megasonic Cleaner SRD Used Working1Neslab Instruments 081243 Temperature Controller 394199049901 Used Working1Nikon CFW 10x Mircroscope Eyepiece Set Used Working2Dolan-Jenner Series 180 High Intensity Illuminator Used Working1RS Roper Scientific RTE/CCD-1300-Y/HS UV CCD Mircroscope Camera Used Working2Leica Microsystems 020-654.085-000 Microscope Beamsplitter 761524 Used Working2Olympus VMZ 1x-4x Stereo Microscope Head VM Used Working1Bausch & Lomb StereoZoom 5 Microscope Head SZ5 with XY Axis Stage Used Working1Olympus SZ StereoZoom Miscroscope 0.7x-4x Light Source Stand Used Working1Wild Heerbrugg M3C Stereo Zoom Microscope Fiber Optic Light Stand Used Working2Karl Suss Microscope Objective Stage Semiautomatic Probe Station Nikon As-Is1Mitutoyo 50AAB307 Fiber Optic Light Source Lof of 2 Used As-Is1GCA 66635-14 Circulating System Tegal Precision Scientific Not Working As-Is1Haake 002-9802 C35 Circbator Bath F6 002-9513 Not Working As-Is1Schott KL1500-T Fiber Optic Light Source Used Working1EX-111 Neslab 137003201606 Recirculating Bath Does Not Circulate or Chill As-Is1RTE-110 Neslab 163103200700 Recirculating Bath Used Tested Not Working As-Is1RTE-100 Neslab 166103200100 Recirculating Bath Used Tested Not Working As-Is1MicroVision MVT 2080 Workstation Wafer Inspection Station Table Tschurr Used1Nikon P-IER Microscope Eye-Level Riser Used Working2Nikon 5 Position Turret Microscope Nosepiece Used Working1Olympus MPlan 40 1.1X Microscope Objective DIC Nomarski Used Working2Olympus MPlan 100 1.1X Microscope Objective DIC Nomarski Used Working2Verteq Main Power Panel Sunburst Megasonic Cleaner SRD Used Working2Motic SMZ168 C-Mount Microscope Adapter 0.65x 1/2" Used Working2Nikon AFX-IIA Trinocular Microscope Camera Adapter Head Used Working1Rudolph Research II-2345 Automatic Ellipsometer AutoEL II Untested As-Is1Dage MCT22 Wire Bond Pull Shear Microtester 22 Bausch & Lomb StereoZoom 7 As-Is1Schaevitz Sensors PPA-050 PPA Series Precision Gage Head with Stand Used Working1Olympus STM Measuring Microscope BHJM Body with Adjustable Stand Used Working1Nikon Trinocular Microscope Head with Illuminator Labophot Optiphot Series Used1Lumina FO-150 Light Source Chiu Technical Used Working1Bausch & Lomb 31-35-28 Microscope Illuminator Lot of 2 As-Is1Dawson 150H Universal Fiber Optic Light Source Used Working1Aristo M1457-3 Microscope Ring Illuminator and Power Supply Used Working1Carl Zeiss 45 28 25 Microscope Body Axiotron with Stage Used As-Is1Nidek IM-140 Microscope Wafer Autoloader Used As-Is1Lufran SBC-J-208-DS Flow Sparger Control SLC-3-DS Used Working1SMC VQC1100Y-6 8-Port Pneunamtic Manifold Used Working1Nikon SMZ Microscope Focus Drive 62mm Head Holder Bracket Used Working1Leica Angled Focus Drive Microscope Head Adjustable Bracket Used Working1Mitutoyo 50AAB304 A-Zoom Light Controller Reseller Lot of 2 Used Working1Carl Zeiss 452821-9002 Microscope Power Supply Used Working1Carl Zeiss 452821-2010 CZJ QS Microscope Power Module Used Working1Lufran 978A Heater T.C.O. Power Supply Used Working1Agilent 81662A DFB Source Module Used Working1Semitool P223-4E Spin Rinse Dryer SRD Controller Used Working2HP Hewlett-Packard 16500C Logic Analysis System 16517A 16518A Used Working1Olympus BH-2 Microscope Binocular Head Used Working1Carl Zeiss 45 27 35 Axiospeed Diode Array Spectrometer Microscope Head Used1Olympus TR-2 20 DIC Nomarski Prism Microscope Objective Used Working1Carl Zeiss 45 28 70 Reflector Slide Microscope Objective 452870 Used Working2Bausch & Lomb Industrial 25x 0.31 N.A. Microscope Objective Used Working1Olympus MPlan 5 1.1X Microscope Objective DIC Nomarski Used Working2Olympus MPlan 10 1.1X Microscope Objective DIC Nomarski Used Working4Olympus MPlan 20 1.1X Microscope Objective DIC Nomarski Used Working3Bausch & Lomb Industrial 2.25x 0.04 N.A. Microscope Objective Used Working1Carl Zeiss 45 25 88 Reflector Module FL Non P&C Used Working1Leica Leitz 368051 Microscope Eyepiece Used Working1Olympus G20X 12.2 Microscope Eyepiece Set Used Working1Olympus WHK 15XL Microscope Eyepiece Set Used Working1Leica Leitz 13410752 Microscope Eyepiece Set 15x/15.6 Used Working1Cascade Microtech ECX-56 Expansion Module EBC-68-51 CPU EBD 61-51 Used Working1Javelin JE-7442 ULTRICHIP CCD Camera with Microscope Zoom Lense Used Working1Sentech STC-630AS Color CCD Microscopy DSP Camera Used Working1Hitachi KP-161U Solid State CCD Camera Used Working1Sony DXC-960MD Color Video Camera CCD-IRIS 3CCD Used Working1Panasonic WV-CP412? h Microscope Mount Used Working1Jenny Science Sv 50V4-DC Servo Controller with Minimotor 22/2K 54,6:1 Used1Sony DKC-5000 Digital Photo Camera Controller Used Working1Scholly Fiberoptik 150H Fiber Optic Light Source Used Working1Carl Zeiss 45 19 04 Axio Microscope Upright Series Optovar Module 451904 Used1Carl Zeiss 45 19 38 Electronic Microscope Camera Head 451938 Used Working2Christie 38-DSP102-07 DLP Simulation Projector MATRIX S+2K M 2126 Hours Used1Christie 38-DSP102-07 DLP Simulation Projector MATRIX S+2K M Bad Display As-Is1Christie 38-DSP102-07 DLP Simulation Projector MATRIX S+2K M 1481 Hours Used1Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.45-1.8:1 8046 Hr Used1Sansha 002-100095-01 DLP Projector Ballast SanRex Christie 03-900538-51P New6Christie 03-260723R01P 3CHP Panel Driver PCB Assembly 50-000723-01P Refurbished1Christie 003-110235-01 3 Chip Panel Driver PCB Assembly 015-100071-01 New1Christie 38-DSP102-07 DLP Simulation Projector MATRIX S+2K M 2125 Hours Used1Magtorx 30-EC-120 Current Regulated Power Supply Used Working1Christie Digital Systems 003-100711-01 SX+ DLP Projector Engine Assembly Used1Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95" 10893 Hr Used1Christie 38-DSP102-07 DLP Simulation Projector MATRIX S+2K M Off Color As-Is1Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95" 12844 Hr Used1Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95" 12354 Hr Used1Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95" 7378 Hr Used1Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95" 11359 Hr Used1Brooks Automation PRI 7000 200mm SMIF Pod Wafer Stocker1Leybold 85931-000-3M Heater Cable AMAT Applied Materials 0620-01188 Used Working1Leybold 85932-000-3M Cooler Cable AMAT Applied Materials 0620-01186 Used Working1MKS Instruments CB252-16-10 Type 252D Exhaust Valve Controller Cable Used1Kurt J. Lesker 750-005-G1 Sputtering Shutter Module Front Load Sensor New1Huntington Mechanical 800-000 CF800 Nonrotatable VAC-U-FLANGE 800 Series Used1TURBOVAC 150 Leybold 85471 Turbomolecular Pump 854 71 Used Tested Working2A&N Corporation CF800 Non-Rotatable Blank-Off 2.5" Bore MKS MDC Edwards Used1MKS Instruments CF1000 Non-Rotatable Blank-Off 10" Ultra High Vacuum UHV Used2Varian 951-5227 Pneumatic Stainless Steel Swing Gate Valve CF600 Used Working1D4B TRIVAC Leybold 305833-1003 Rotary Vane Mechanical Vacuum Pump Used Tested1Balzers BG 445 013-S Cooling Lid Motor Assembly BG 545 649-T Used Untested As-Is1MDC Vacuum Products ASA Straight Reducer Nipple Adapter 400ASA to NW40 Used1MDC Vacuum Products ASA Straight Reducer Nipple Adapter 200ASA to NW50 Used1A&N Corporation CF275XCF275R-150-MH-18.0 Medium Wall Flexible Hose CF275 Used1Aera CA-26A MFC Mass Flow Controller Card Edge Adapter CA-11 Lot of 2 Used1TMU 260 Pfeiffer Vacuum PM P02 135 Turbomolecular Pump Used Untested As-Is1Leybold Inficon 758-500-G1 Thin Film Deb Monitor XTM/2 Used Working1Granville-Phillips 316001 316 Vacuum Gauge Controller 00 Used Working1Granville-Phillips 307001 316 Vacuum Gauge Controller 307005/06 Used Working1MKS Instruments PDR-C-1C Power Supply Readout Tested Used Working1Kurt J. Lesker KJL2200 Ionization Gauge Controller IG2200 Used Working1Sycon Instruments STM-100/MF Thickness Rate Monitor Used Working1Seren R300-0282-13 RF Power Supply1Tylan General CMLA-11S02 Baratron Capacitance Gauge Tested Not Working As-Is1MKS Instruments 122AA-00002AB Baratron Pressure Transducer1MKS Instruments 122B-11993----S Baratron Pressure Transducer Used Tested Working1Osaka TG3413E Compound Molecular Pump Set TC3200 Controller Used Tested Working1MKS Instruments 127AA-00001B Baratron Pressure Transducer1MKS Instruments 122AA-00010AB Baratron Pressure Transducer Used Tested Working1MKS Instruments 122AA-00010-B-SP053-80 Baratron Transducer Used Tested Working1MKS Instruments 629A-12TBC Baratron Capacitance Manometer Used Tested Working1MKS Instruments 627A11TBC Baratron Pressure Transducer Tested Not Working As-Is1MKS Instruments 627B.1TBE Baratron Pressure Transducer1Pfeiffer PM C01 697 B Controller DCU 6001Jeol EH-RPS02 Manual Adjustable -/+180° Phase Shifter Used Working1AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working1MKS Instruments 117394-G1-B Type 146 Output PCB Card 117393-A Used Working1Inficon 911-1062-G Relay Load Control Board PCB 911-1060 Used Working1AMAT Applied Materials 0100-00093 Stepper Drive PCB VME Card Used Working1AMAT Applied Materials 0100-00003 Stepper Drive PCB VME Card Used Working1AMAT Applied Materials 0100-20004 Chamber Interconnect PCB VME Card Used Working1AMAT Applied Materials 0100-76012 HDP Chamber Interface PCB Card Used Working1AMAT Applied Materials 0100-00438 Interlock Personality Board PCB Used Working1TURBOVAC 50 Leybold Heraeus 854 01 Turbomolecular Pump 85401 Used Untested As-Is1AMAT Applied Materials 0100-35206 HDP-DE Chamber Interconnect PCB Backplane Used1AMAT Applied Materials 0190-00371 DeviceNet Digital I/O Rev. P4 PCB Card Used1AMAT Applied Materials 0190-00285 HDPCVD Interlock PCB Card Used Working1DIP 15039603 Digital I/O CDN396 PCB Card AMAT 0660-00078 DIP-300-818 Used1AMAT Applied Materials 0100-20454 Controller Backplane Board PCB Rev. 001 Used1VAT F14-62425-09 Pneumatic High Vacuum Gate Valve Used Working1Alcab Comptech CVQ-10-ASA_U-SM VARI-Q Throttling Valve 150-1 Used Working1Kurt J. Lesker KJL-912019 CAL-100 Vacuum Gauge Adjustment Tool Used Working1TMH 071 P Pfeiffer PM P02 802 CA Turbomolcular Pump with TC600 Tested As-Is1Koganei Right Angle Pneumatic Valve N.O. Stainless NW40 Sensor CS3B Used Working1Torr Vac 763008 Right Angle Pneumatic Valve 8320B13 NW40 Used Working1High Vacuum Research NW50 Manual Inline Vacuum Shut-Off Valve MKS Used Working1High Vacuum Research NW40 Pneumatic Inline Valve Vacuum Shut-Off MKS Used1Granville-Phillips 275502 275 Mini-Convectron Vacuum Gauge Used Working1AE Advanced Energy 1345193D RF Match AZX HTCM Module Used Working2Swagelok MS-KIT-RDA Seat and Seal Kit RD Series R36JQ0893B Reseller Lot of 9 New1Swagelok SS-4-TA-1-0011 Tube Fitting Male Tube Adapter Reseller Lot of 11 New1Vacuum General CMT-01 Pressure Transducer Baratron Used Working1Omron DRT2-MD32ML Remote Terminal Output Module DRT2 Used Working1Kurt J. Lesker KJL-902005 Pirani Gauge Assembly Used Working1Leybold 157 30 THERMOVAC Transmitter Pirani Sensor TTR 211 SO New1Granville-Phill?ips 274003 Glass Ion Gauge Tube Gauge 274 Reseller Lot of 2 Used1A&N Corporation 0604049 Copper Gasket 1650-CG CF1650 Vacuum Flange Lot of 2 New1Vacuum Technology GPCC-4-Mix-4MVCR-500DOT-WFL Crimped Capillary Assembly Used1Fujikin RKE0K6000 Pneumatic Valve 021372 Normally Closed Reseller Lot of 5 Used1CTI-Cryogenics 8135900G001 Cryo Compressor 9600 Missing Parts As-Is1AE Advanced Energy 0920-00056 RF Generator 3150852-005 C Over Temp Fault Tested As-Is1CX-2000 Comdel FP3365RA RF Generator CV2000 AMAT 0190-31017 Used Tested Working1Newport 15-3702-1425-26 Wafer Robot AMAT 0190-22250CW Copper Exposed Refurbished1Kashiyama SD90V III Single Stage Screw Vacuum Dry Pump Used Tested Working1MKS Instruments 100016886 Isolation Valve AMAT 3870-06568 HPS Used Working2AMAT Applied Materials 0041-05382 Retaining Ring 8" Titan II Head II New Surplus17AMAT Applied Materials 0010-10327 200mm Wafer Flat Pedestal Assembly ESC Used1AMAT Applied Materials 0090-06791 Flow Meter Proteus 9B8006SA1E15P2 Used Working2MKS Instruments UHV-25-AKK-ENVN Ultra High Vacuum Angle Valve Used Working1AMAT Applied Materials 3870-03867 Pneumatic N.C. Diaphragm Valve Used Working1AE Advanced Energy 0190-41115 RF Generator 3150310-001 MF Paramount Used Tested1PDX 900-2V AE Advanced Energy 0190-10028 Power Supply AMAT Used Tested Working1VHF Ovation 35162 AE Advanced Energy 3150257-002 A RF Generator Bad Input As-Is1VHF Ovation 35162 AE Advanced Energy 0190-16109 RF Generator AMAT Tested Working1AMAT Applied Materials 0040-35875 Gas Box Universal Lid 12939900-282 Refurbished2AMAT Applied Materials 0020-48987 Tungsten PVD Pedestal Cover 200mm New1AMAT Applied Materials 0010-36478 150mm JMF Edge Ring New Surplus1AMAT Applied Materials 0040-51361 Gimbal Support New Surplus1AMAT Applied Materials 0040-36425 200mm Electrostatic Chuck 0021-37371 New5AMAT Applied Materials 0020-29385 8" 200mm Clamp Ring JMF Refurbished1AMAT Applied Materials 0200-02955 Quartz Capture Ring New Surplus1AMAT Applied Materials 0020-25444 Capture Ring New Surplus1AMAT Applied Materials 0010-04522 200mm Electrostatic Chuck New1Hine Design 860 Vacuum Arm Assembly No End Effector GaSonics A-2000LL Asyst Used1Neslab STEELHEAD 0 Thermo Fisher 620000000015 Heat Exchanger Used Tested Working1Neslab STEELHEAD 0 Thermo Fisher 620000000015 Heat Exchanger Needs Motor As-Is1VHF Ovation 2760 AE Advanced Energy 0190-17779 RF 3150292-007 Used Tested Working2VHF Ovation 2760 AE 0190-17779-W RF Generator 3150292-007 Used Tested Working1VHF Ovation 2760 AE 0190-17779-001 RF Generator 3150292-007 Used Tested Working1Staubli RX60 Unimation 6-Axis Robot Arm System CS7MB Zygo ARMI Used Working1Omega DP41-TC Temperature Display LED Used Working1Modus Instruments DA-4-08M-0-RRRF Display Alarm Used Working1Tescom 44-2268-R62-282 Pressure Regulator new1TEL Tokyo Electron Moly CR-125mm Clamp Ring D129479 Rev.A new4Metron Spacer Gate Valve Shield D116375 Rev. E new TEL Tokyo Electron5TEL Tokyo Electron 1.5mm Moly CR-125mm Clamp Ring D129677 Rev.2 new4TEL Tokyo Electron CR-125 Full Wafer Holder Housing D127110 Rev.B new6Metron MRC 8" Cathode Dark Space Shield D112649 Rev.G new5TEL Tokyo Electron D123330 Wafer Holder Shield Housing Rev.2 new5TEL Tokyo Electron CR-125 Wafer Holder Housing D122850 Rev.B new 125mm5TEL Tokyo Electron 200mm Etching Shield D116700 Rec.C New7TEL Tokyo Electron D117832 Gas Distribution Ring New1MRC Eclipse Star Wafer Holder 162MM 41870-0101 New11Tokyo Electron TEL D122081 Rev. C Shield New45TEL Tokyo Electron Metron SS 100mm Sput Wafer Holder Shield D124821-100S Rev.G15MRC Eclipse Star Back Plane Heater 100MM A115893 New2Metron 150mm Heater Shield Ring D115719 Rev.D new14SUNX Sensors LA-AI Beam Sensor Amplifier Lot of 3 Used Working1Saes Getters SHC504M904-7 In-Line Manual Valve working lot of 31Saes Getters UHP504C606-10 In-Line Manual Valve Working Lot of 41Leica 768009 Microscope Lens Objective PL Fluotar 20x/0.45 D Used Working1Newport INFT-0000-KF Digital Temperature Display LED Used Working Lot of 21Kokusai CQ1400A(01) Accuron CQ-1400A Digital Direct Controller Set used working1MKS 221 Signal Conditioner 221BA-00010B 10 Torr working1MKS Signal Conditioner Type 221 221AA-00010B Used Working1Digitron 4501/4 DPM Thermometer Lot of 4 Used Working1Inficon SKY Capacitance Diaphragm Gauge CR091D Used Working1Lintec LM-1100M-8 Liquid Flow Meter USED1SECO Gramatech SSGF GVS2100R Vacuum Sealer GVS 2100 No Pedal No Heat Used As-Is1ASM 54-125030A09 / Millipore MZEAD101CR00 Mass Flow Controller 1000SCCM Multi2BROOKS 5964(EP) MFC Mass Flow Controller 20SLM N2 Used2UNIT Instruments UFC-1260A MFC Mass Flow Controller 200 SCCM CF4 USED1UNIT Instruments UFC-1020 MFC Mass Flow Controller 100 SCCM SF6 USED1MKS 146C-ACBOO-1 Vacuum Gauge Measurement & Control System Used Tested Working1LEYBOLD UL 100 PLUSHELIUM LEAK DETECTOR USED1ALCATEL ACP20G Dry Pump1SAM / HITACHI METALS LTD SFC482S5M0-6VP MFC Mass Flow Controller 50 SLM N2 USED2Horiba STEC SEC-4500MO-SUC MFC Mass Flow Controller O2 20 LM USED1Horiba STEC SEC-4500MO-SUC-016 MFC Mass Flow Controller H2 20 LM USED1Horiba STEC SEC-4500MO-SUC MFC Mass Flow Controller N2 20 LM USED1Horiba STEC SEC-7340M MFC Mass Flow Controller 7340 5SLM 15% O3/O2 USED1Horiba STEC SEC-7330M MFC Mass Flow Controller 7330 1SLM Ar USED1Horiba STEC SEC-V110DM MFC Mass Flow Controller V100 2SLM N2 USED3Horiba STEC SEC-4400MO-SUC MFC Mass Flow Controller 4400 500 CCM O2 USED1Horiba STEC SEC-7330M MFC Mass Flow Controller 7330 500 SCCM Ar USED1ENI OEM-12 Solid State Power RF Generator USED1Horiba STEC SEC-7340M MFC Mass Flow Controller N2 3SLM USED22Horiba STEC SEC-7330 MFC Mass Flow Controller AR 500SCCM USED5GES Test Item (Temporary Title)1ASM 51-123117A53 / HORIBA STEC SEC-4400M MFC Mass Flow Controller SiH2Ci2 500SCC2ASM 54-123806A69 / AERA FC-7800 MFC Mass Flow Controller H2 500SCCM-AI-NO2CH2500 Thermo Fisher Scientific 121121110000001 Recirculating Chiller Tested1Agilent 16702B Logic Analysis System with One 16753A module and Four 16760A modules USED1Tripp Lite SU6000RT4U Smart Online UPS with Batteries USED14Aera FC-980B MFC Mass Flow Controller 50SCCM SF6 USED8UNIT Instruments UFC-8160-102101 MFC Mass Flow Controller 50SCCM SF6 USED2Aera FC-980B MFC Mass Flow Controller 30SCCM SI2H6 USED7UNIT Instruments UFC-8160 MFC Mass Flow Controller 30SCCM SI2H6 USED3Aera FC-980BTC MFC Mass Flow Controller 1SLM AR USED8UNIT Instruments UFC-8160-102037 MFC Mass Flow Controller 1SLM AR USED2Horiba STEC SEC-7330M MFC Mass Flow Controller 1SLM AR USED6MKS 146C-OOOOO-1 Vacuum Gauge Measurement & Control System Used Tested Working12OEM-6B ENI OEM-6B-01M5 RF Generator 650W @ 13.56MHz Used Tested Working9AMAT Applied Materials 0020-39137 Ceramic Dome DPS Poly Refurbished1Seiko Seiki SCU-H1000C Turbomolecular Pump Control Unit USED1BALDOR / PRICE JM3107 / HP75CN-425-06111-50-36-306 Pump, Spec 34F38-27181MKS Instruments 651CD2S2B Pressure Controller 600 Series Used Working2UNIT Instruments UFC-1110A MFC Mass Flow Controller NEW3Branson/IPC 804-13284-01 Automatch Board USED3Seiko Seiki SCU-H1000C STP Control Unit USED1HVA High Vacuum Apparatus 11210-0203RS-001 Pneumatic Gate Valve Working Spare1Osaka Vacuum TH542 Turbomolecular Pump 11" ASA Turbo New Surplus1TRIVAC D16A Leybold-Heraeus 89583 Rotary Vane Vacuum Pump Used Tested Working1ASM 2872048-01 INSTL HEATEXCHANGER STD1ASM / SPENDRUP FAN COMPANY 46-106737A29-R / 140-10-FPHE BLOWER-AIR AXIAL DDR 10HP 3550RPM MANUFACTURER REFURBISHED1Horiba STEC SEC-7330M MFC Mass Flow Controller 50SCCM SiH4 USED12Horiba STEC SEC-7340M MFC Mass Flow Controller 10SLM N2 USED9Horiba STEC SEC-7350M MFC Mass Flow Controller 15SLM NH3 USED4Horiba STEC SEC-7340M MFC Mass Flow Controller 3SLM NF3 USED6Horiba STEC SEC-7340M MFC Mass Flow Controller 5SLM NH3 USED5Horiba STEC SEC-7340M MFC Mass Flow Controller 3SLM Ar USED5Horiba STEC SEC-7340M MFC Mass Flow Controller 10SLM N2 USED3Horiba STEC SEC-7340M MFC Mass Flow Controller 7SLM NH3 USED1Aera FC-980C MFC Mass Flow Controller 200SCCM CF4 USED4Aera FC-D980C MFC Mass Flow Controller 200SCCM N2 USED2Aera FC-D980C MFC Mass Flow Controller 200SCCM N2 USED2Aera FC-D980C MFC Mass Flow Controller 200SCCM O2 USED3Aera FC-D980C MFC Mass Flow Controller 200SCCM SF6 USED2Aera FC-D980C MFC Mass Flow Controller 100SCCM SF6 USED2UNIT Instruments UFC-8565 MFC Mass Flow Controller 10L N2 PID FW: 3.03 USED2UNIT Instruments UFC-8565 MFC Mass Flow Controller 3L Ar PID FW: 3.03 USED1UNIT Instruments UFC-8565 MFC Mass Flow Controller 3L NF3 PID FW: 3.03 USED1UNIT Instruments UFC-8565 MFC Mass Flow Controller 15L NH3 PID FW: 3.03 USED1UNIT Instruments UFC-8565 MFC Mass Flow Controller 50cc SiH4 PID FW: 3.03 USED1UNIT Instruments UFC-8100 Mass Flow Controller MFC 200cc He Used Working1UNIT Instruments UFC-1200A MFC Mass Flow Controller 100SCCM O2 USED1UNIT Instruments UFC-1200A MFC Mass Flow Controller 100SCCM CF4 USED1Millipore FSFAF100D500 InbliFlow Digital Flow Products AR, 5000 SCCM USED1UNIT Instruments UFC-1000 MFC Mass Flow Controller He, 50 SCCM USED3MKS Instruments 99D0405 Isolation Vacuum Valve and Heater Jacket 4630-1053 Spare1TYLAN FC-2960MEP5-261R MFC Mass Flow Controller 5SLPM N29TYLAN FC-2960MEP5 MFC Mass Flow Controller 20SLPM N27TYLAN FC-2960MEP5 MFC Mass Flow Controller 0.5SLPM N23TYLAN FC-2960MEP5 MFC Mass Flow Controller 100SCCM N21TYLAN FC-2960MEP5 MFC Mass Flow Controller 10SLPM N26TYLAN FC-2960MEP5 MFC Mass Flow Controller 2SLPM N23TYLAN FC-2960MEP5 MFC Mass Flow Controller 500SCCM N21TYLAN FC-2960MEP5 MFC Mass Flow Controller 20SLPM N21TYLAN FC-2960MEP5 MFC Mass Flow Controller 4SLPM N22AERA FC-981C MFC Mass Flow Controller 10SLM O21AERA FC-P201-GF MFC Mass Flow Controller 10SLM O23TYLAN FC-2960MEP5 MFC Mass Flow Controller 0.2SLPM N21TYLAN FC-2960MEP5 MFC Mass Flow Controller 0.1SLPM N21TYLAN FC-2960MEP5 MFC Mass Flow Controller 0.1SLPM N21TYLAN FC-2960MEP5 MFC Mass Flow Controller 200SCCM N21TYLAN FC-2960MEP5 MFC Mass Flow Controller 50SCCM N21TYLAN FM-3911J 6V MFC Mass Flow Controller 50SCCM O21ASTeX Applied Science & Technology AS04251 Power Distribution Used Working1Fuji Seiki 1011018 Butterfly Valve Refurbished Hitachi 3-8214494SMC CDQ2B140C-J0406-XC Air Cylinder Hitachi 3-839273-^A New2Hitachi Quartz Fiber 3-825664-04 New1Hitachi Cosmic Industry BJ1T Motor Cylinder 3-850138-^A7Hitachi 1-822328 Lower Coil ZPS90 New1Kyoto Denkiki KDS-20170S Coil Power Supply Hitachi 3-845612 New1Hitachi 300mm Quartz Sleeve 3-852688-01 New2Hitachi Inner Chamber Cover UHF 1-829191-01 New4Hitachi 3-851916 NPS21 Flow Sensor Manifold Type FS-10 new1Hitachi 2-819080 Ring Gate New1SMC CQ2B63-01-59741 Air Cylinder Hitachi 3-823437 New2Hitachi GV1 Cover 3-824791 Sealed New2Hitachi 3-839105 CKD Air Cylinder SCS-LND-00-140B-693Hitachi Electrode Head Cover, 2-829526-03 New3Hitachi ER Cover, UHF, 2-832793-01 New2Hitachi 1-807885 PCB IL36-1 New1Hitachi Upper Coil, ZPS90 New1A-B Allen Bradley 100-A38NJ3 Contactor 195-GA10 A Coil Reseller Lot of 2 New2Hitachi 2-821867 RF Tube Lot of 2 New1Hitachi 3-839347-A CKD Air Cylinder SCA2Q-CA80B79.2H-FL2Hitachi ZPS90 Chamber Block 1-824631??New1Hitachi ZPS90 Aluminum Gas Ring 2-830082-01 New2Hitachi Flexible Vacuum Bellows Flange 2-813358-A??New2Hitachi 3-849747 CKD Air Cylinder USSDKL63-188B New13Hitachi 200mm 3-845132 Holder for M-308 Lot of 3 New1Hitachi 3-853222 SMC Air Cylinder CDQ2B40-30D-A73HS New2Hitachi 1-823775 PCB I/O Unit W/ 7 Boards Included New1Hitachi Lower Sleeve UHF 2-829605-02 New4Hitachi 2-816892 200mm Wafer Plate New2Hitachi Robot Swing Arm 200mm Unload 2-819447 New1Omron E5AS-R1P Temperature Controller New1Hitachi 1-815631 200mm Robot Wand New1Hitachi S2-84410 SMC Air Cylinder CDG1BN20-30 Qty 2 New2Hitachi S3-89145-01 SMC Air Cylinder CM2XU20-50 Lot of 33Hitachi S2-84410 SMC Air Cylinder CGD1BN20-30-H7A1 Qty51SMC MDUB63-E4126-200 Air Cylinder Hitachi 3-839272-^A New1Hitachi 3-839275 SMC Air Cylinder CDQ2B100-J0736-20 New1Hitachi 3-851917 SMC Air Cylinder CDQXB40-30D-A73HS New3Hitachi 3-830027 200mm Reflector New Lot of 33Hitachi 4-817835 200mm Reflector New Lot of 46Hitachi 3-841739 Cosel Power Supplies K10A, K50A Qty 31Hitachi 3-841740 Cosel Power Supply K25A, K150A Qty 31Hitachi S3-85001 Cosel Power Supplies P15, P-50 Qty 41Hitachi 1-807879 PCB AI16-2 New1Hitachi 1-807883 PCB PM02-1 New2Hitachi E-247 Spray Nozzle Assembly Lot of 4 New1Hitachi E-207 E-257 Spray Nozzle Assemblies Qty 4 + Nozzles new1Hitachi 3-08520489 200mm Mesh Plate New1Hitachi S3-89146 SMC Air Cylinder CM2XL20-70 Qty 3 New1SMC ZX100-K35LZ-EC Vacuum Switch ZX Series Hitachi 3-827900 Lot of 3 New1Hitachi I-036 Nagano Keiki GC94 Pressure Gauge/Switch1Omron Photoelectric Sensors Connectors Hitachi Kaganei Yamatake Honeywell Lot1Hitachi 2-812545 M308 ER Assembly Shaft New1THK 2LF16UU+341.5L-(A) Hitachi 3-847127 LF 340mm Ball Shaft/Spline New1Hitachi 3-833717 Base Ring 200mm Lot of 3 New2Hitachi 3-841437 SMC Air Cylinder CDQ2B40-01-59469 Qty32SMC Air Cylinder CDQ2WB80-01-59470 New1Shimadzu TMP 280-L Vacuum Turbopump includes Fomblin new surplus1No Inbation on Item1Frontier 8800 Stress Gauge1200mm Wheel & Motor1MAG 1000 CT Leybold 86004 Turbomolecular Pump Used Untested As-Is1Therma-Wave 24258 PCA Backplane ISA PCI Rev.B Used Working1KLA-Tencor AIT 2 Light Tower Driver 552364 working1Therma-Wave Opti-Probe Opto-Isolation Board 14-0174821Nikon NSR-S307E Component Box Working1Nikon 4S018-716 OPDCTRL3 PCB Board Used Working2Nikon 4S085-431 Carrier Module Board Used Working1Nikon 4S018-885 CPCI IP PCB Board Working NSR S307E2Nikon 4S587-634 PZTDriver Used Working1Nikon 4S587-754 PZTDriver Used Working1Nikon 4S001-112 Power Source Used Working2Nikon 3AR-4R Vacuum Gauge Box Used Working1Millipore W2501PH02 Photo Resist Pump SVG 90S Used Working4Millipore W2501PH02 Photo Resist Pump Untested AS-IS2Omron Z4LC-C28 Parallel Beam Line Sensor Working1Panasonic MBDH153ABD01 Inverter Minas-Hyper Used Working1Daifuku Teaching Box B255M Working5Nikon 4S001-093 Power Supply Board PCB PW-NK NSR Used Working4Nikon 4S001-060 Power Supply PW-NA PCB Board Working1Nikon Power Amplifier 4S008-050 MIS-POWAMPX4 PCB Board2Nikon 4S013-510 SPAIFX4B PCB Board Used Working2Nikon 4S015-316 CPU Board ACP-112 (Slave) Used Working3TEL Tokyo Electron 1D81-000128 Communications Board TYB41C-1/Gas I/L Unity II3TEL Tokyo Electron 1D81-000099-A6 MAIO Control Card TYB111-1/MAIO Used Working7TEL Tokyo Electron 1D81-000099-A6 MAIO Control Card TYB111-1/MAIO Used Working2Yaskawa DR1-08AC Servopack Servo Drive Used Working11Oriental Motor 4054-LD4 5-Phase Servo Driver Lot of 2 Used Working2Oriental Motor B1583-07120KBL AC Servo Driver VEXTA MAG LOT TEL Unity II Used172979 Tylan FC-2979MEP5 Mass Flow Controller Lot of 6 As-Is1STEC SV-P1203 Mass Flow Controller Air Pneumatic SV-P1000 Reseller Lot of 6 Used2TEL Tokyo Electron REX-B860-CS2 TMP 8ch Control PCB Card Unity II Used Working9TEL Tokyo Electron REX-B860-CS2 TMP 8ch Control PCB Card Unity II Used Working2Hitachi I900SRT Lens Wheel Assy. working1Hitachi I900SRT AFCNT00 Control Board 571-7025 working3Hitachi I900SRT COUNTER0 Control Board 571-7016 working3Hitachi I900SRT Control Board AFADCOO 571-7022 working3Galil Motion Control DMC-1580 Motion Controller Hitachi I900SRT Used Working5Yaskawa Robot Power Supply Module XU-DL1110 working3KLA-Tencor CRS-3000 Laser Mirror & Prism Assembly Newport P100-P Used Working1Power-One VAD610636 DC Power Supply HN5-9/OVP-A Used Working2CKD OPP3-1H 10-Port Pneumatic Manifold FL247221 Used Working2DNS Electronics Rinse Tank Module FC-3000 DS-1211 Used Working1AMAT Applied Materials 0010-08323 Local RF Match Rev. 007 Used Working2AMAT 0010-08322 Top Local RF Match Rev.002 used working2Pre-Tech High Frequency Generator PT-16M20 working1Hitachi 560-5501 NCOLDCPS Power Supply Assembly S-9300 SEM Used Working2AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working1AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working4AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working1STEC SV-P1203 Mass Flow Controller Air Pneumatic SV-P1000 Reseller Lot of 4 Used3Yaskawa SGDA-01AP Servo Drive Amplifier Servopack Used Working3Kaijo 600W Ultrasonic Generator Used1Nikon VCM Amp Motor Amplifier 4S587-735 working2AMAT Applied Materials 0010-08322 Top Local RF Match Rev.002 Used Working1Yaskawa XU-RCM5010 Wafer Transfer Robot Used Working1Nikuni 25CLX15U5 MLTC Centrifugal Pump with 25CLX15U6 Motor Used Working1Nikuni 25CLX15U5 MLTC Centrifugal Pump with 25CLX15U6 Motor Used Working1Hitachi S9300 Wafer Inspection Transfer Robot Scanning Electron Microscope Used1Hitachi Wafer Prealigner Module S-9300 SEM Used Working1Hitachi Wafer Prealigner Module S-9300 SEM Used Working1Dolan-Jenner A241P6TC42 Illuminator A-241L A-241P 150W Used Working1Black Box SW651A ServSwitch Wizard SSW2 Used Working2Anelva PSC-211 DC Controller Working1Anelva A12-24285 5 Electrode Voltage Meter1Anelva VSP-0971 2 RF Matching Meter Used Working1KLA-Tencor 0039978-000 M2/M3 Servo Controller Box Assembly AIT II Used Working1Pentagon Technologies PT-0643-0013 Quartz Bell Jar Endura 8" PVD New1Nikon IU-IOP2 Control Module NSR-S307E 4S065-172-11Power-One HN5-9/OVP-A Power Supply VAD610636 KLA-Tencor AIT II Used Working1Power-One HN28-3-A Power Supply VAD610239195 KLA-Tencor AIT II Used Working1Nikon 4K177-955-1 Transfer Robot NSR-S202A ArF Immersion Scanner Untested Used1Hitachi 571-7701 I900SRT IMGIF Board Used Working16Hitachi 571-7703 I900SRT CLKIF Board Used Working2Sony DC-700 Camera Adaptor Hitachi I900SRT Used Working1Sony DC-700 Camera Adaptor Hitachi I900SRT Used Working1Matsusada HJPZ-1B-HS-V2 High Voltage Power Supply1Hitachi BA-H500 H2 DC Power Supply I900SRT Used Working2Hitachi BA-H500 H2 DC Power Supply I900SRT Used Working1Hitachi I900SRT Wafer Transfer Robot Working2Hitachi I900SRT Rotary Lens Assembly with Steepers PK544-NAC PK566BW Working1Hitachi I900SRT Lens Wheel Filter Assembly Used Working2Hitachi I900SRT Nikon 65259 Revo Controller Working1Hitachi I900SRT Nikon 65259 Revo Controller Working2Sony XC-7500 CCD Camera Hitachi I900SRT Used Working1Hitachi CCWLMT Linear Optics Stage Assembly I900SRT ORG Used Working1Hitachi I900SRT Mirror Assembly Working1Hitachi Lens Assembly with Mounting Base Plate I900SRT Used Working1Hitachi 571-7115 PS Amp 1 Board PCB Assembly 25717110 Used Working1Hitachi T7230034307 Microscope Objective working1KLA-Tencor 2139 Flipper Autoloader Kit 780-689642-0001Hitachi I900SRT Prealigner Robot Assembly Untested As-Is1Asyst Technologies 0FH3000-001 Pre Aligner Hitachi I900SRT Used Working2SSM SX-UID500H Capacitance CM H2 Hitachi I900SRT Used Working2Hitachi I900SRT Hg-Lamp Position Monitor 571-7117 working2Tencor Instruments 261408 4 Channel Motor Control PCB Card Rev. B Used Working3KLA-Tencor 328014 Cradle Power Board PCB AIT 2 Used Working1KLA-Tencor 328014 Cradle Power Board PCB AIT 2 Used Working1KLA-Tencor AIT2 4 Channel Motor Control Board 363251 Rev.AC working2KLA-Tencor EMO CD Floppy Drive Module AIT2 Used Working1TEL Unity 2 Control Panel 1D81-000129-11 Working1TEL Tokyo Electron 1D81-000118 Control Board TYB417-1/OPE Unity II Used Working2TEL Tokyo Electron 1D81-000118 Control Board TYB417-1/OPE Unity II Used Working1TEL Unity 2 Laser Assembly MLXS-D12-670-3 Lot 21KLA-Tencor 000678T Solenoid PCB AIT1 Used Working1KLA-Tencor AIT1 Solenoid PCB 001050T Working1Ultrapointe 001049T Lon Motor Driver PCB 00045 KLA-Tencor CRS-3000 Used Working5GSI Amplifier E11-13209-7 PCB KLA-Tencor AIT1 Used Working1Pentagon Technologies PT-0143-0011 RF Shield Endura 8" PVD New1Yaskawa TEL Unity 2 Servo Controller BC930310 working4Hitachi I900SRT Optics Module Lot working1Hitachi Inspection Optic Module I900SRT Used Working1Hitachi M-712E 200mm Wafer Prealigner working1Hitachi M-712E 200mm Wafer Prealigner working1Hitachi CR-712V Wafer Transfer Clean Robot No End Effector Sanki M-712E Used1KLA-Tencor 456098 Techsol Filter Unit 009-99FFU Used Working1Varian Diode Ion Pump 304 ESR Working1Hitachi Loader Interface Transfer Robot M-712E Loader Interface Used Working1Hitachi I900SRT Wafer Inspection Transfer Robot Used Working1Hitachi I900SRT Wafer Inspection Transfer Robot Used Working1KLA-Tencor AIT2 Heat Exhaust Blower 570-0443 Used Working1VAT 02112-BA24-0001 Transfer Valve ASM Epsilon 30001Hitachi I900SRT Robot Track IKO TSL220-600 Working2Servoland MOVO2 SVEL124-P Servo Drive Motor Amplifier3Lorex PZN-555-80-1-00-000 Temperature Controller Piezocon Used Working4VAT 07512-UA24-ADA1 Atmospheric Door ASM Epsilon 3000 Working7Hitachi I900SRT Dual End Effector Wafer Transfer Robot Used Working2Hitachi I900SRT Sensor Assy. 2K015 C7883 working1Hitachi 569-5510 ST Sensor PCB S-9300 SEM Used Working1Opal 70512360100 SDT Assembly AMAT Applied Materials SEMVision cX Used Working1Turbo-V 250 Varian 9699504S011 Turbomolecular Pump Controller AMAT 704115350002Oram LPS 850 DC Power Supply AMAT SEMVision cX Used Working1Hitachi I900SRT Transfer Robot Assembly working1Genwac GW-902H Video Camera Computar 4.5-10mm Lens1873 Foxboro 873RS-BTWFGZ Resistivity Analyzer Used Working2Genwac GW-902H CCD Video Camera with Computar 4.5-10mm Lens Used Working1KLA-Tencor AIT 2 UI Splitter Board 547247 AA working1KLA-Tencor AIT 2 Keyboard Breakout 547220 working1Digi (1P)50001078-01 16em 232 CR2 DB 120K PORTS/16EM Reseller Lot of 4 Spare1Opal 50312350000 STC DR Assembly AMAT Applied Materials SEMVision cX Used1Opal 50312460000 ETPS Assembly AMAT Applied Materials SEMVision cX Used Working1Yaskawa DR1-08AC Servopack Servo Drive Used Working2Opal 50312403000 Controller Chassis CDM DR-300 AMAT SEMVision cX Used Working2Hitachi I900SRT SRSEQ11 PCB 571-7207 Working2Kyosan DC Power Supply WB0210 Used Working2Therma-Wave Opti-Probe OPT PL INTFC PCB 14-018741Therma-Wave 14-015810 Opti-Probe Interface Board Used Working2Jikco 4S587-736-1 Monitor Box Nikon COX-B7A Used Working2Jikco Nikon 4S588-280 Channel Box COX-10D Used Working1Nikon NSR-S307E IU-IOP1 Controller 4S065-171 working1Nikon Optistation 7 APW Exhaust Blower 1NB412S77 working1Hitachi 7200 Etcher DC Power Supply Unit 1 Working1Hitachi 7200 Etcher VME Micro Computer Assembly Used Working1Sanyo Denki Pentasyn PMM-BD-57025-1 Driver TEL P-83KLA-Tencor HRP-340 Interface Board 03-185614-02 working1KLA-Tencor HRP-340 Interface Board 303737 Rev.0C working2TEL Tokyo Electron P-8 Camera Assembly Sony XC-75 Kowa GFZ-2160 Used Working6KLA-Tencor SANP-9900 Frame Grabber Board 001052 AIT1 Used Working1TEL Tokyo Electron 3208-000090-12 P-8 INKR DRV/SACC Interconnect PCB Used5TEL Tokyo Electron Indexer Base PCB 3208-000141-124TEL Tokyo Electron 3208-000089-12 Bridge Interconnect 2 PCB Used Working2Sanyo Denki PMM-BD-5705-1 Motor Driver Pentasyn PCB Used Working3Millipore MFC Surface Mounts FC-2979MEP5-WM N2 Lot of 6 AS-IS1Millipore MFC Surface Mounts FC-2979MEP5-WM CHF3 Lot of 5 AS-IS1Millipore MFC Surface Mounts FC-2979MEP5-WM AR Lot of 6 AS-IS1Millipore MFC Surface Mounts FC-2979MEP5-WM C4F8 Lot of 6 As-Is1QMB250 Edwards A301-86-905 Vacuum Pump Mechanical Booster Used Untested As-Is2TEL Tokyo Electron 3281-000132-12 PCB Power Distribution2 Board P-8 Used Working4Kokusai T2DD4-16291-10035 Temperature Controller Used Working1Nikon 2B001-581-3 Linear Module KAB11000K-0047 Optistation 7 Used Working1STEC SEC-7330 MFC Lot1Nikon 24098-0161 Optistation 7 Handler Assembly KAB11240 Used Working1TEL CTRL FA1006K501A PCB 3208-000138-122Nikon KAB11000M-0063 Wafer Handler KAB11000/360-3 Optistation 7 Used Working1Nikon Optistation 7 Linear Module KAB11000/A701-61TEL Tokyo Electron 1D81-000098-B4 DIO Control Card TYB112-1/DIO Used Working7TEL Tokyo Electron 1D81-000098-B4 DIO Control Card TYB112-1/DIO Used Working2TEL Tokyo Electron 1D81-000098-B4 DIO Control Card TYB112-1/DIO Used Working1Nikon 4S064-050 VCM Amplifier 3-Axis Motor SPA1A NSR-S204B Used Working1TEL Tokyo Electron 3208-000091-11 AIR/HF Interconnect PCB Used Working4TEL Tokyo Electron 3281-000110-13 Y Interconnect 1 PCB 3208-000110-13 P-8 Used2TEL Tokyo Electron 3208-000083-11 Indexer Interconnect PCB Used Working1TEL Tokyo Electron 3281-000088-12 Y Interconnect 2 PCB 3208-000088-12 P-8 Used1TEL Tokyo Electron 1208-001195-11 PCB Pre Align Amp 78 1281-001195-11 P-8 Used1TEL Tokyo Electron P-8 Wafer Prober Air Manifold2TEL Tokyo Electron P-8 Camera Control Assembly GP-MF212A KLA 710-805314-00 Used42979 Celerity FC-2979MEP5-WM Mass Flow Controller Used Working1KLA-Tencor GPIO Board 0052412-001 Rev. AA Used AIT21Panasonic MSM021P2A AC Servo Motor TEL Tokyo Electron P-8 Used Working4Jikco NIKON 4S587-740-1 Interface Module Box Used Working1Yaskawa XU-CM5500 Robot Controller Nikon 4S064-734 Used Working1Nikon 4S001-107 Power Supply VDBC0002201 Used Working3Sony DPR-LS2 Laserscale PCB Board Working Nikon3NIKON 4S019-288-1 IFSIGCOR PCB Board Used Working1Agilent Z4208C A2801 Controller VME Board Working1Nikon??4S019-582 RBTDRU(H) CRDRV PCB Board Used Working1TEL Tokyo Electron 3281-000138-13 PCB-CTRL Assembly Used Working3Technol Seven Y331-70 Chuck Heater E5EJ TEL Tokyo Electron P-8 Used Working6Hitachi I900CHPCMP I900SRT Control Board working64Hitachi I900GRYCMP2 I900SRT Control Board working17TMP Shimadzu EI-3203MD Turbo Molecular Pump Controller 1.8K Tested Used Working3TMP Shimadzu EI-203MD Turbo Molecular Pump Controller Used Tested Working1Hitachi 560-5530 COL-CN2 Power Relay PCB Hitachi S-9300 CD SEM Used Working2Hitachi CWS85 CWS850/2 B COM Interface Board working2Hitachi CWS87 CWS870/4 Keyboard/Mouse PCB Board working2Hitachi CWS88 CWS880 Interface PCB Board working2Hitachi CWS15 CWS150 AGP Video Card working2Hitachi CWS22 CWS220/A Interface PCB Board working2Contec PI0-32/32L 9859A Interface PCB Board working7Hitachi I-900/EXBF-VME2 VME Interface Board ZVV029-C1 Working??I-900SRT2SRC VME Systembus SVB-10VME Backplane 100010695 Working??I-900SRT1Hitachi 560-5533 Power Supply PCB Board NDEF-PS 564-5529 S-9300 SEM Used Working1Hitachi 560-5533 Power Supply PCB Board NDEF-PS 564-5529 S-9300 SEM Used Working1Hitachi I-900/TRANDEF Control Board ZVV021 Working??I-900SRT1Hitachi I-900/MONIT2 Control Board ZVV037 Working I-900SRT1Hitachi OPSEQ01 Interface Board 571-7090 Working??I-900SRT2Hitachi STSEQ11 Interface Board 571-7204 Working I-900SRT2Hitachi SRSEQ01 Interface Board 571-7393 Working??I-900SRT1Hitachi STSEQ01 Interface Board 571-7096 Working??I-900SRT1Hitachi PCA Relay Board MTIF01 Working #2201 I-900SRT1Digi Host Adapter Board 30001284 Rev. X Working??I-900SRT1Digi Host Adapter Board 30001284 Rev. X Working??I-900SRT1Contec PI-64L PCB Interface Board 9860 Working??I-900SRT1Fuji Seiki 1012851 Throttle Valve ISO 100 Used Working2Keyence SJ-R036C Static Eliminator Controller Hitachi I-900SRT Used Working1Dalsa Controller TA-C1-04K30-50E Working Hitachi I-900SRT1Oriental Motor UDK5107NW2 Vexta 5-Phase Driver Used Working6Tokyo Electronic Industry CCD Camera CS8340B Working TV4602A1 I-900SRT Pair1Mecs Prealigner OFH3000-001 I-900SRT 0FH3000-001 Used Working1Opal 70417880100 PCB Interface Board EP 70317881300 PCB SMC-RS232 Card Used2Opal 13811 Power Relay Board AMAT Applied Materials SEMVision Used Working2Hitachi 569-5516 ME I/F PCB S-9300 CD Scanning Electron Microscope Used Working6Hitachi 569-5516 ME I/F PCB S-9300 CD Scanning Electron Microscope Used Working1Opal 50317890000 SMC-Micro Board PCB Card EK2002 Used Working1Opal 70317875200 SMC/M Vacuum Board PCB Card AMAT SEMVision Used Working1Nemic-Lambda RWS30A-5??Power Supply YM-95-774 Used Working3Lambda PA-3N-4-6SG-001 AC/DC Power Supply PCB Card Used Working1Lambda PA-3N-4-6SG-001 AC/DC Power Supply PCB Card Used Working4Lambda EWS50-5 Power Supply Hitachi I-900SRT Reseller Lot of 3 Used Working4Lambda EWS50-12 Power Supply Hitachi I-900SRT Reseller Lot of 5 Used Working1Vero Monovolt PK60 Power Supply Unit ASM E3000 Lot of 2 Used Working1TEL Tokyo Electron 2981-600310-12 DC/DC CONV. Board 2908-600310-12 ACT12 Used5TEL Tokyo Electron 2981-600328-11 DC Power Branch Board 2908-600328-11 Used2TEL Tokyo Electron HTE-TGR-B-W1 Ghost Repeater Board TAB5600-W Used Working2TEL Tokyo Electron 2981-600618-11 I/O DISP #02 PCB 2908-600618-11 Used Working1Tokyo Electron TEL ACT 12 Oven I/O Board #01 HTE-OV1-B-11 Used Working2TEL Tokyo Electron HTE-OA1-B-11 Oven I/O Board HTE-OV1-B-11 ACT12 Used Working1TEL Tokyo Electron HTE-OA1-B-11 Oven I/O Board HTE-OV1-B-11 ACT12 Used Working1TEL Tokyo Electron 2981-600595-11 CONN CT SSR #01 Board 2908-600595-11 Used2CKD 4SB019-C3 Pneumatic Manifold FL139571 2724 Lot of 3 Working1TEL Tokyo Electron 1D81-00000097A7 ITC PCB Board TYB 212-1/RF Unity II Used3TEL Tokyo Electron 1D81-000115 PCB Card TYB414-1/CONT Unity II Used Working4TEL Tokyo Electron 1D81-000115 PCB Card TYB414-1/CONT Unity II Used Working4TEL Tokyo Electron 1D81-000113-19 PCB Board TYB221-1/PUMP Unity II Used Working3TEL Tokyo Electron 1D81-000114 PCB Board TYB415-1/RF Unity II Used Working6TEL Tokyo Electron 1D81-000130 PCB Card TYB416-1/PUMP Unity II Used Working3TEL Tokyo Electron 1D81-000130 PCB Card TYB416-1/PUMP Unity II Used Working6Board1Lam Research 810-17012-002 Heartbeat PCB Rev. 2 Rainbow 4420 Used Working1LAM Research I/O Breakout Board 810-17002-001 Rev. D Used Working1Lam Research 810-17018-002 Gap Motor Controller BD PCB Rev. H Rainbow 4420 Used1Lam Research 810-17018-002 Gap Motor Controller BD PCB Rev. G Rainbow 4420 Used1stepper motor driver2Lam Research 810-17031-R4 ADIO-AO Control Board PCB Rev. 1 Rainbow 4420 Used1Lam Research 810-17031-3 ADIO A0 PCB Card Rev. 2 Used Working26Lam Research 810-17031-3 ADIO A0 PCB Card Rev. 2 Used Working54420 SASI-1 Board1Force Computers 300000 PCB Card SYS68K/SASI-1 100108 Lam Rainbow 4420 Used4Hitachi S-9300 MHVP2 PCB Power Board 560-5509 working2Vacuum General CMLA-21 Capacitance Diaphragm Gauge 853-017643-003-G-1135 Used1Vacuum General CMLA-21 Capacitance Diaphragm Gauge 853-017643-003-D-C222 Used1Vacuum General CMLA-21 Capacitance Diaphragm Gauge Lam 853-017643-003-D Used2Lam Research Tri-Convectron Assembly 853-01620-001-A-C222 Used Working5Lam Research 4420 Tri-Convectron Assembly 853-01620-001 Rev. A Used Working1Lam Research 810-17012-001 Heartbeat PCB Board Rev. D 4420 Used Working3Force Computers Inc 880-12535-101 Control Board Rev.A2 working2Lam Research 810-17031-2 ADIO-A0 Control Board PCB Rainbow 4420 Used Working3Force Computers 890-14425-101 PCB Card SYS68K/CPU-6VB/C3 Lam 4420 Used Working2Force Computers 880-12537-101.A2 PCB Card LAM 4420 Used Working1Force Computers 310004 PCB Card SYS68K/SIO-2 LAM 4420 Rainbow Used Working3Hitachi 569-5519 EVCN3 PCB S-9300 CD Scanning Electron Microscope Used Working5Lam Research 853-012550-001-F-C222 Wafer Shuttle Assembly Rainbow 4420 Used2Therma-Wave 18-010918 Power Supply Opti-Probe 2600B Used Working1Therma-Wave 18-010918 Power Supply Opti-Probe 2600B Used Working2Therma-Wave Opti-Probe 2600B Cassette Loader Module 18-010968 Rev.C working1Hitachi 568-5590 ST Sensor PCB Two Sensor Board S-9300 SEM Used Working6Therma-Wave 18-010968 Cassette Loader Module Rev. F Opti-Probe 2600B Working1Therma-Wave 18-010968 Cassette Loader Module Rev. B Opti-Probe 2600B Working2Nikon Optistation 7 X-Y Stage 4S013-315-STG-I/F2 2B001-594-3 working1Omron SYSMAC CQM1 PLC Programmable Controller PA203 Therma-Wave Opti-Probe 2600B1Sayama N130-50P-DG Optistation Controller 4257-V2-B-TN used Nikon Optistation 71PRMS Inc. 1005002 Macroillumination Lamp Nikon Optistation 7 working1Nikon Optistation 7 Contec PC-586U(PC)-LV Single Board Computer 32MB RAM 133MHz1Sony CMA-D2 CCD Camera Adaptor Nikon Optistation 7 Used Working2Yaskawa XU-CM2500 Robot Controller OST7-01-031-3 Nikon Optistation 7 Working1Lam Research 853-012350-002-F-230 Outer Gate Assembly 4420 Etcher Used Working2Lam Research 853-012350-002-H-230 Outer Gate Assembly 4420 Etcher Used Working1Lam Research 853-012350-002-F-230S Outer Gate Assembly 4420 Etcher Used Working3LAM Research 853-140013-001-1-230D Outer Gate Valve Assembly 4420 Used Working1Lam Research 853-012350-002 Outer Gate Assembly Rev. H 4420 Etcher Used Working1Hitachi EVAC Control Unit 569-5517 S-9300 SEM Used Working2Nikon Optistation 7 Power I/F PCB 4S013-317 Used Working1Nikon Optistation 7 MCR-I/F PCB 25013-179-4S013-309-1 Used Working1Nikon Optistation 7 Stage Interface PCB 4S003-057-STG-I/F1 Used Working1Hitachi 560-5501 NCOLDCPS Power Supply Assembly S-9300 SEM Used Working2Nikon Optistation 7 MCR-ISA PCB 2S014-065 Used Working1Nikon Optistation 7 MIC-ISA PCB 2S014-066 Used Working1Veriflo Lam 4420 Valve Assembly 839-029227-100-B Used Working3MKS Instruments 839-13521-1 Isolation Angle Valve Rev. C Working3Stepper Motor Driver Board13Lam Research 810-17016-001 Stepper Motor Driver PCB Card Rev. C Used Working22Lam Research 810-17016-001 Stepper Motor Driver PCB Card Rev. C Used Working5Lam Research 810-17016-001 Stepper Motor Driver PCB Card Rev. C Used Working7AMAT XR80 Argon/Oxygen Bleed and Charge Monitor 0100-94078 Rev. A Used Working1Applied Materials AMAT XR80 0100-90650 Rev. B Wheel Current Board Used Working1AMAT Applied Materials XR80 0100-90177 Rev.C Vacuum Control Drive Board Working1AMAT XR80 0100-90071 Rev. Y Cryo Pump Temp Monitor Board Used Working1AMAT XR80 0100-90870 Rev. E 4 Phase Stepper Controller Board Used Working1AMAT XR80 0100-00505 Rev. A Vacuum Control Feedback Board Used Working1TEL Tokyo Electron TEB405-1/FD2 PCB Card EC81-000007-11 Unity II Used Working5TEL Tokyo Electron SVME/AT-BCN/A PCB Board SVA603A-I-S Unity II Used Working4TEL Tokyo Electron EC81-000016-11 PCB Card TEB102-1/NASS Unity II Used Working8Anelva Digital to Analog Converter SM-10 Control Rack H11-10811 Rev. 01 Used4Anelva Digital to Analog Converter SM-10 Control Rack H11-09728 Rev. 01 Used7Lam Research 4420 90 Degree Load Lock Cover 713-11203-1 Rev. D Used Working2Lam Research 4420 Outer Gate Exit Safety Cover 713-013236-01 Lot of 3 Used1Lam Research 4420 Outer Gate Entrance Safety Cover 713-013220-001 Lot of 3 Used1Lam Research 4420 Etcher Indexer Cover Used Working3Kawasaki C62C-A002 Robot Controller Used Working1TDK RDH24-6R0 DC Power Supply Used Working3TEC IZU 4S064-644 Power Supply Nikon NSR Used Working2Nikon EP-AX4 PCB Board 4S008-124 Used Working3Nikon 4S008-057 NSR Series AISref-X4 PCB Used Working3Nikon Optistation 7 Fostec Fiber Optic Cable Assembly Used Working1AMAT Applied Materials XR80 Contacter Drive 0100-90385 Issue DZ working1Yaskawa XU-RCM2500T-3 Robot Track OST7-01-031-3 Nikon Optistation 7 Working1Nikon 4S064-631-1 Controller IU-IOP2 NSR Series Used Working1AMAT Applied Materials XR80 Sol/Fil Ext Interface Card 0100-91087 Rev.F working1AMAT Applied Materials XR80 Vacuum Control Feedback 0100-00504 Rev.A working1AMAT Applied Materials XR80 Charge Voltage Card 0100-90468 Rev.F working1Shimaden PAC-Series Thyristor Power Regulator Unit PAC41-1P-0319-L000 working2Nikon 4S064-630 NSR Series Controller IU-IOP1 Working1Shimaden 01-199-011-JJ230C9 Pressure Gauge 9334 lot of 3 working1SM-10 Anelva 1LC1013 8 Channel A/D Converter 842-5396 working2SM-10 Anelva Booster PCB-A Booster Module working4AMAT Applied Materials XR80 24V 1A Power Supply Module 0100-90025 Rev.2 working2AMAT Applied Materials XR80 Vacuum Control Drive Card 0100-90177 Rev.C working1Interface IBX-4101 Processor Board PCB Card G01-001[12] Used Working2Interface IBX-4101 Processor Board PCB Card G01-001[12] Used Working1IDK Corporation VAC-2000ES RGB Video Distribution Amplifier TEL Unity II Used6Yaskawa JAMSC-B1070 Register Output lot of 5 working1Yaskawa JAMSC-B1011 I/O Buffer Lot of 2 Used Working1Yaskawa JAMSC-B1071 Register Input lot of 5 working2CTI-Cryogenics On-Board Series 8101 Input Processor 8113018G001 working1Yaskawa JAMSC-B1064 Module 24VDC Output working1Yaskawa JAMSC-B1065 24VDC Input Module working1Yaskawa Memocon-SC Mount-Base JRMS1-B-1027 working 4820-040-040Y lot of 31Lam 852-011200-003-F-231 Entrance Loadlock 853-012123-001-E-230S 4420 Used1Lam 852-011201-583 Rev. 3 Exit Loadlock Assembly 853-012123-001 Rev. I 4420 Used1Lam 852-011201-500 Rev. E Exit Loadlock Assembly 853-012123-500 Rev. D 4420 Used1Lam 852-011201-001 Rev. E Exit Loadlock Assembly 853-012123-001 Rev. E 4420 Used1Lam 852-011200-500 Rev.D Entrance Loadlock Assembly 853-012123-500 4420 Used1Lam Research 852-011200-583 Entrance Loadlock Assembly 853-012123-001 Used1LAM Research 852-017500-001-D CRT-Keypanel Chassis Assembly 853-017505-001-B1LAM 4420 Etcher 852-017500-003-4 CRT-Keypanel Chassis Assembly 853-017505-001-C1Lam Research 4420 852-011061-103 Lower Chamber Assembly 853-025103-003 as-is3Varian Chamber Heater Assembly Working1MKS Instruments 100997144 Isolation Valve System 839-13521-1-1956 LAM 4420 Used4Watlow Anafaze TLM-8 Temperature Monitor TLME310DDMTAAAA working1Tohan TD-102 Servo Drive Reseller Lot of 3 Used Working1Kyoto Denkiki KDS-30350W DC Power Supply Hitachi M-712E Used Working3Origin Electric ES7-IIA Magnetron Power Generator MAG.P/G Hitachi M-712E Used7Cymer S05-09005-05 Photo Cell Module working1Lam Research 853-017163-001-D-3710 RF Switch Box 4420 Rainbow Used Working1Lam Research 4420 EMO Assembly 853-025901-001-1-C136 Used Working1LAM Research 4420 Solenoid Tray Assembly 853-013610-001-D Used Working2LAM Research 4420 Solenoid Tray Assembly 853-013610-111-1-C222 Used Working1LAM Research 4420 Solenoid Tray Assembly 853-013610-001 Rev. E Used Working1GE Commercial Motors 5KH32GN5588X Motor 4805 with Procon Pump Head SVG 90S Used1LAM Research 4420 Rear E.M.O. Enclosure 860-010168-001 Used Working4AMAT Applied Materials 0100-90944 Wafer Arm Gripper Interface Board Rev. A Used1AMAT Applied Materials 0100-91082 XR80 Sensors Board Rev. D Used Working1AMAT Applied Materials Quantum Leap 3 Process Module Wheel Used Working1MRL ASM Vertical Furnace Heater Element 46003002842955 New1Advantest BPS-030208 Liquid Cooled Processor PCB Card T2000 Module w/Case Used1Advantest BPS-030208 Liquid Cooled Processor PCB Card T2000 Module w/Case Used19ADTEC AX-2000EUII-N RF Generator Novellus 27-286651-00 Used Tested Working2KLA-Tencor Dual SMIF Interconnect I/O PCB 0037918-00 Rev. AD Used Working1KLA-Tencor SP1 Power Supply Module 0002063-000 Used Working1Nemic-Lambda EWS1500-3.3 DC Power Supply Used Working4Anelva H13-1798 Photocoupler Module Used Working2Nemic-Lambda EWS50-12 NNS50-15 EWS300-5 DC Power Supply Lot of 5 Used Working1Applied Materials AMAT Slit Valve External Insert Piece 0040-41887 Lot of 3 New1AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Used Working1DNS Electronics FC-3000 DS-1211-ANALOG I/O Modules DS-1608-TR Used Working2Contec Digital Input Card PI-64 Lot of 2 Used Working1Digi Host Adapter Board 30001284 Rev. R Used Working1Internix PF810-ASEHD4 Teach Pendant Profort 810 Used Working1Internix PF810-ASEHD4 Teach Pendant Profort 810 Used Working1Shimadzu EI-3203MD Turbo Molecular Pump Controller Used Tested Damaged Working1Force Computers 890-14425-101 PCB Card SYS68K/CPU-6VB/C3 Lam 4420 Used Working1Nikon C0020-01A Main Board NSR Series Used Working1Hitachi ILC4-1 Control Board Used Working2Minato Touch Data Controller M-308ATE 140UFS-HK Used Working1Hitachi M-308ATE PCB Pair BGAI-I Used Working1Ulvac Vacuum Gauge Control GI-PRYS Hitachi M-308ATE Used Working1Ulvac Vacuum Control GP-ISRY M-308ATE Used Working2Hitachi Etch Power Meter M-308ATE Lot of 4 Used Working1Fuji Seiki 1011018 Butterfly Valve Refurbished Hitachi 3-8214491TMP Shimadzu TMP-280-L Turbo Molecular Pump Used Working1Nikon CB1000A Power Supply Module NSR Series Used Working1Nikon PZT Driver 4S587-076 NSR Used Working1Nikon NSR Lens Assembly K-A86073 Used Working1Nikon NSR 4S019-080 IU-PWR2-X4P2 Power Board working1Nikon NSR Drive PCB 4S019-026 IU-DRV2-EX4P Used Working1Nikon NSR 4S018-923 IU-PWR1-X4P Power Relay PCB working1Nikon 4S018-765 IU-DRV4 Driver PCB NSR Used Working1Nikon NSR 4S019-059 IU-CTRL2 Control PCB working1Nikon Control Board PCB 4S019-058 IU-CTRL1 Used Working NSR-S307E1Nikon NK8601A PCB 4S015-227 Control Board Used Working8Nikon 21541 Laser Lens Assembly NSR System Used Working1Komatsu Control Panel KDP1320LE-1 Used Working1Nikon 4S013-448 IRC-A-PCB Board Lot of 3 Used Working2Nikon RBTLNK2 Link PCB 4S013-417 NSR-S306C Used Working1Nikon 4S587-624 AVIS1 ERG Amplifier SEA141A 4S013-374-1 Used Working1Nikon NSR-S306C 4S018-921 RBTDRV Drive PCB working2Nikon 4S018-919 Robot Interface PCB NSR-S306C Used Working1Nikon 4S064-549 Robot Controller NSR 17 NSR-S306C Used Working2Nikon NSR-S306C Power Module 4S001-102 Used Working1Nikon NSR-S204B Control Board IFIOPIF 4S013-355 Working 4S015-227 NK8601A1Nikon NSR-S204B LC Adaptor Board 4S015-215 Working 21M7009-00331Spectrum VME64 Control Board FRU 600-90051 Working Nikon NSR-S204B1Nikon NSR-S306C CRI/F PCB 4S019-115 Used Working1Nikon NSR-S306C Z-A86974C Cassette Elevator 4S013-467 working1Nikon NSR-S306C Wafer Transfer Robot Module WJR34314D Used Working1Yaskawa 4S587-295 Linear Motor Controller Nikon NSR XU-DV0802V Used Working1TEL Tokyo Electron 1D81-000065-1 AC POW FAIL DET BRD B PCB Unity II Used Working2Hitachi BBB1-02 M-712E Interface Board working1Hitachi CR-712V Clean Robot M-712E Used Working1V-Tex 172B336X48DCPRO03 Slit Valve Hitachi M-712E Used Working3Hitachi DI0-01N Control PCB M-712E Used Working3Hitachi ILB-02 Relay Board PCB M-712E Used Working1Hitachi AI0-02N Analog Input Board M-712E Working1Hitachi I0TU-01N Input PCB I0CN-01A Used Working M-712E1Hitachi BBBS-11 Backplane PCB Board M-712E Dry Etcher Used Working1Fuji Electric 200A Circuit Breaker SA203BA Lot of 2 Used Working1Gasonics A95-122-01 Rev. G Load Lock Door Assembly Aura 2000-LL Used Working3Hine Design Gasonics Aura 2000-LL Chamber Robot Assembly 200mm Used Working3Asyst 03330-001 Theta Arm Transport Unit Hine Novellus 94-1085 Aura 2000LL Used1Asyst 03330-001 Theta Arm Transport Unit Hine Novellus 94-1085 Aura 2000LL Used12Nikon NSR-S306C 4S018-725 Robot-I/F PCB working1Nikon NSR-S306C 4S018-710 LIB-I/F PCB working1Nikon Z-X4P/8-A1103C NRS S306C Power Supply Module working1Nikon 4K197-306 Pneumatic Box NRS S306C Used Working1Nikon 4K191-632-1 RH Reticle Transfer Robot with End Effector NSR-S306C Used1Hitachi BBE11-01 Backplane PCB M-712E Dry Etcher Used Working1Hitachi Left Temperature Control Module M-712E CTE11-01 RKC REX-F7 Used Working2Nikon NSR-S306C 4S018-866 PPD3X4 PCB working1Nikon DCMD-L2 PCB NSR-S306C 4S003-028 Used Working1Nikon 4S018-867- PPD3X4-I/F PCB NSR-S306C used working1Diavac Limited Angle Valve LCAVB-25H LCAVB-25HK LCAV-40HF Lot of 6 Used Working1Hitachi M-308ATE Power Module HK9623 Used Working1Nikon NSR-S306C 4S014-178 Liner Pulsemotor Controller Board Rev.A working1Panasonic MSD4ACA1XX AC Servo Driver Minas Used Working1Nikon 4S018-918-PRE1_I/F2 Control PCB NSR S306C Used Working1Nikon NSR S306C Y_I/F2 PCB 4S018-917-1 Used Working1Nikon 4S013-414-XYRLNK PCB NSR-S306C working1Nikon 4S013-415-YLNK PCB NSR S306C Used Working1Nikon RLIOP-I/F 4S013-358- PCB Rev. A NSR S306C Used Working1Tazmo NSR18 Robot Controller Nikon 4S064-548 NSR-S306C Used Working1Fuji Seiki BV-4AX0-HF Exhaust Throttle Valve Used Working4Nikon NSR-S306C 4S018-929 Motor Control PCB working1Nikon Omron NSR S306C Photoelectric Sensor Module Z4LC-S28 Used Working1Nikon NSR S306C Elevator 4S018-649 Used Working1Nikon COM-CTRL 4S018-925 PCB Used Working Surplus1Nikon 4S018-928 COM-CTRL PCB working1AceCo S33-2180-11X ASM Eagle Suseptor Pedestal Heater Assembly Untested As-Is22Nikon Case I/F PCB 4S018-646 Used Working2Fuji Electric 50 100 A Circuit Breaker Lot BU-ESB3050 BU-ESB3100 working1ESC ESC-2000E Power Supply Module working3ESC ESC-2000E Power Supply Module working3TMP Shimadzu EI-3203MD Turbo Molecular Pump Controller 1.8K Tested Used Working1Varian E17332311 Beamgate Side Liner Rev.A lot of 26 new5Cymer Automatic Shutter Assembly 05-06080-04 working2RECIF Technologies SPPF50A60000 Robot Arm Refurbished2AMAT Applied Materials 0100-91085 Guiding Tube PCB Card XR80 Used Working1SVG Silicon Valley Group 99-80336-01 Nikon Interface PCB??working4Hitachi CWS36 CWS360/7 PH Rev.D Motherboard working1Kyoto Denkiki KDS-30350WF DC Power Supply Used Working2AMAT Applied Materials 9090-00668 Scan Optimization Module XR80 Working Surplus2ENI RFC-6-01 RF Matching Network MW Controller RFC-6 Used Working12Kyosan DC High Voltage Power Supply TEL Unity 2 working5Oriental Motors B1583-D51KBLM AC Servo Motor Vexta with Gear Head 5GD20K-H1 Used1VAT 61144-PA52-1008 Throttle Valve Working7STEC SV-P1203 Mass Flow Controller Air Pneumatic SV-P1000 Reseller Lot of 5 Used5VAT 87907-R1 Adaptive Pressure Controller Board??610PB-26NM-0004 Used3Sanken Electric MLT-DCBOX5 Power Supply Unit MMB50U-6 Used Working10TEL Tokyo Electron Unity 2 Ion Gauge Manifold Assy Granville-Phillips 2740129Hitachi BBE11-01 Backplane PCB M-712E Dry Etcher Used Working2TEL Tokyo Electron 2981-600310-12 DC/DC CONV. Board 2908-600310-12 ACT12 Used2Asyst 8045R2-1 Teach Pendant Used Working1AceCo S33-2180-11X ASM Eagle Suseptor Pedestal Heater Assembly Untested As-Is1Cyber Research Power Controller 4002 2288 01 2 working2305W Ebara REM01 PWM20M Turbo-Molecular Pump Controller Used Tested Working1305W Ebara REM01 PWM20M Turbo-Molecular Pump Controller Used Tested Working4Stainless Steel MP-617-040-610 Electronic Chemical Bath / Sink Untested As-Is3TEL Tokyo Electron 1D81-000113-19 PCB Board TYB221-1/PUMP Unity II Used Working1IDK Corporation VAC-2000ES RGB Video Distribution Amplifier TEL Unity II Used1Edwards NGW414000 Gate Valve Assembly With Humphrey Solenoid Valve Used Working1Edwards NGW073000 Pneumatic Gate Valve Assembly Humphrey Solenoid Valve Used2Edwards NGW073000 Pneumatic Gate Valve Assembly Humphrey Solenoid Valve Used3Eagle Microdyne 910-00018-001E NE2000plus3 PCB Card Used Working1Eagle Microdyne 910-00018-001E NE2000plus3 PCB Card Used Working1TEL Tokyo Electron SVME/AT-BCN/A PCB Board SVA603A-I-S Unity II Used Working1Interface IBX-4101 Processor Board PCB Card G01-001[12] Used Working1TEL Tokyo Electron EC81-000016-11 PCB Card TEB102-1/NASS Unity II Used Working1TEL Tokyo Electron 1D81-00000097A6 ITC PCB Board TYB 212-1/RF Unity II Used4TEL Tokyo Electron TEB405-1/FD2 PCB Card EC81-000007-11 Unity II Used Working1MKS Instruments AS00348-02 eDiagnostics System Interface Blue Box 4000x Used1Edwards D37215000 Vacuum Flash Module Used Working2Edwards D37215000 Vacuum Flash Module Used Working1Edwards A52844413 Pump Interface Module Used Working1Edwards D37370761 iGX Accessory Module Copper Exposed Used Working3Edwards 2 Channel Exhaust Purge and Pressure Regulator Control Box Used Working1Edwards 2 Channel Exhaust Purge and Pressure Regulator Control Box Used Working2Power-One HCAA-60W-A Power Supply Assembly 115 Volts HN24-3.6-A Untested As-Is9Edwards Exhaust Assembly KF40 Outlet KF25 Inlet Lot of 4 Used Working1Nikon 4S064-631 Controller IU-IOP2 NSR Series Used Working1Panasonic MSD261Y82 Controller Assembly PCB Card 581B357C 581B345E TEL ACT8 Used1TEL Tokyo Electron 2981-600408-12 PRA Base Board ACT8 121Tokyo Electron TEL ACT 12 Power Board 2981-600328-11 Used Working3Edwards B90002041 Pneumatic Gate Valve ISO100 BGV Used Working1Edwards B90002041 Pneumatic Gate Valve ISO100 BGV Used Working7Edwards B90002041 Pneumatic Gate Valve ISO100 BGV Used Working3Edwards B90002041 Pneumatic Gate Valve ISO100 BGV Used Working2Edwards B90002041 Pneumatic Gate Valve ISO100 BGV Used Working1Edwards B90002011 Pneumatic Gate Valve NW50 BGV Used Working9Edwards B90002011 Pneumatic Gate Valve NW50 BGV Used Working4Nemic-Lambda SR35-12 DC Power Supply Used Tested Working2TEL Tokyo Electron 3281-000086-14 PCB Loader Cont Mother P-8 Working6TEL Tokyo Electron 3281-000148-13 LST-2 PCB Card 3208-000148-11 P-8 Used Working6TEL Tokyo Electron 3281-000131-12 PCB Interlock Cont P-8I P-8 Used Working6Sanyo Denki PMM-BD-57035-7 Servo Card TEL Tokyo Electron 3286-000880-1 P-8 Used7Sanyo Denki PMM-BD-57035-8 Servo Card TEL Tokyo Electron 3286-001590-1 P-8 Used7TEL Tokyo Electron 3281-000147-12 LST-1 PCB Card 3208-000147-11 P-8 Used Working7TEL Tokyo Electron 3281-000148-12 PCB LST-2 Interface Board Working1TEL Tokyo Electron 3281-000043-1A PCB Display Driver Card P-8 Used Working6Edwards NGW415000 Pneumatic Gate Valve Copper Used Working1Force Computers 300000 PCB Card SYS68K/SASI-1 100108 Lam Rainbow 4420 Used1Force Computers 300000 PCB Card SYS68K/SASI-1 100108 Lam Rainbow 4420 Used1Force Computers 810-017038-002.B I/O Control Board SYS68K/SIO-2 Used Working1Lam Research 810-17012-001 Heartbeat Board Rev. F 4420 Used Working1LAM Research 4420 Etcher Wafer Transport 853-012726-001-G-C222 Incomplete As-Is1LAM Research 4420 852-017500-003-6-C220 CRT-Keypanel Chassis Assembly Working1MKS Instruments 100997144 Isolation Angle Valve 839-13521-1-1956 Rev. D Working1Edwards NGW073000 Pneumatic Gate Valve Assembly Used Working3Edwards NGW073000 Pneumatic Gate Valve Assembly Used Working1SVG 90S Fluid Temperature Station 99-80266 Station CPU PCB Rev. M Used3SVG 90S Fluid Temperature Station 99-80266 Station CPU PCB Used Working1SVG 90S Fluid Temperature Station 99-80295-01 Power Supply Safety Reset Board3SVG 90S Fluid Temperature Station 99-80295-01 Power Supply Safety Reset Board Used1Thermalogic 718-562 Fluid Temperature Station Board PCB SVG 121-188 Used1Kyowa Instrumentation Amplifier WGA-100A Used Working1Cyber Research Power Controller 4002 2288 01 2 working1KLA-Tencor X-Y Scanner PWA PCB E11-13209-7 Rev. D Used Working2Ultrapointe 000134 Page Scanner Control PCB Rev. 06 Used Working1Ultrapointe 000276 Spectrometer Assembly Fiber Optic Reflection Box Used1Ultrapointe 001003T Fast Z Controller PCB Board Rev.A CRS AB1 2000 Used Working1Nikon Optistation 3 Microscope Lens Assembly With Objectives Used Working1Nikon CFWN 10x/20 Ocular Lens Eyepiece Microscope Assembly GaSonics Used Working1GSI Lumonics 311-15593-1 PCB KLA-Tencor Quantox CCA-10069 Used Working1GSI Lumonics 311-149881 PCB Drive Board KLA-Tencor CRS-1010 Used Working1Edwards A52844460 im Interface Module Used Working1Edwards A52844460 im Interface Module Used Working2Nikon 4S015-065 NSR System Control PCB NK-C302 Used Working7Kepco 0024782 Robot Power Supply 27-053701-00 working1Nikon 4SO2O-179 NSR System Control PCB EXPCNTL Used Working1Nikon 4S018-225 NSR System PCB Connector Input Output Board WL3MTR3 Used Working1HNL RA93-021-04/C Asher Module Aura 2000LL Used Working1Varian L6281-703 Pneumatic Angle Valve NW-40-A/D Lot of 3 Used Working3Varian L6281701 Pneumatic Angle Valve NW-16-A/0 Lot of 3 Used Working2Omron C40H-C6DR-DE-V1 Programmable Controller SYSMAC C40H Used Working1Omron C40H-C6DR-DE-V1 Programmable Controller SYSMAC C40H Used Working1Omron NT20S-ST161B-V3 Interactive Display Used Working29Omron PC-UBRP4A Communication PCB H-DPK Opti-Probe OP2600B Used3Omron PC-UBRP4A Communication PCB DPK Opti-Probe OP2600B Used Working1Omron PC-UBRP4B Communications PCB H-DPK Opti-Probe OP2600B Used Working3GaSonics A95-108-02 PCB LED Control Panel Opti-Probe Rev. F Used Working1GaSonics A95-108-02 PCB LED Control Panel Opti-Probe Rev. J Used Working1GaSonics A95-108-02 PCB LED Interface Control Panel Opti-Prope Rev. H Used1GaSonics A95-107-01-HV LED Control Panel Opti-Probe Used1GaSonics A95-107-01 PCB LED Control Panel Opti-Probe Rev. F Used Working1GaSonics A95-107-01 PCB LED Control Panel Opti-Probe Rev. F Used Working1GaSonics A95-107-01 PCB LED Control Panel Opti-Probe Rev. H Used Working1GaSonics A95-107-01 PCB LED Control Panel Opti-Probe Rev. H Used Working1Therma-Wave 18-007482 Auto-Focus Bi-Cell Detector Opti-Probe OP2600B Used Working1Therma-Wave 18-009252 Sensor Module Rev. A Opti-Probe OP2600B Used Working1Therma-Wave 18-007478 Sensor Module Rev.D Opti-Probe OP2600B Used Working1Panasonic ADKA500BPFADH Servo Driver Used Working2Omron CS1G-CPU43H CPU Unit Programmable Controller SYSMAC Used Working3Omron CS1G-CPU43H CPU Unit Programmable Controller SYSMAC Used Working2Omron CS1G-CPU43H CPU Unit Programmable Controller SYSMAC Used Working8Omron CS1G-CPU43H CPU Unit Programmable Controller SYSMAC Used Working12Saginomiya SJV-1504GQ171 Motorized Solenoid Valve Lot of 2 Used Working9Nikon 4S014-014-A NSR System Control PCB DCM86-L2 Used Working2Panasonic Servo Drive ADKA400BPFADI working1Gasonics 90-2609 Display Decoder PCB Rev. A Used Working1ABI-2000 2-VC-B0084 Handling Control Unit2 Box C1SW-H16FP-2Y18 Used Working1AIM Systems SECS-1/HSMS Protocol Converter SG101 Egateway Single Port Used1Nikon 2S020-061 Optistation PCB 2S701-009 Used Working1Nikon 2S700-583-1 Optistation PCB Control Board 2S014-036-4 Used Working1Nikon 2S700-598 MCR Optistation 3 PCB Working1Nikon 2S700-582-1 TCCNT Board PCB Card 2S014-035-4 v2.23 OPTISTATION 3 Used1Nikon 2S700-581 System Control PCB SCNT Optistation 3 Used Working1Nikon 2S700-580-1 System Control PCB SCPU Optistation 3 Used Working1Nikon 2S700-555 System Control PCB MST Optistation 3 Used Working1Nikon 4S017-885 NSR System PCB Connector I/O Board LC-MTHR Used Working1Nikon 4S018-094 NSR System PCB Connector I/O Board PPD-MTH-7B Used Working1Nikon 4S017-906 NSR System PCB Connector I/O Board MTH-7RL Used Working1Nikon 4S018-036 NSR System PCB Controller Input/Output Board 7BSTGMTB Used1Nikon 4S017-647 NSR System Control PCB AIRCTRL Used Working1Nikon 4S015-084 NSR System Control PCB BUSMON3 Used Working1HP 10897-60002 Laser Axis Control PCB 10897B VME Bus Used Working6Nikon 4S017-867 Interferometer ALG-IF PCB ALGLIUIF Used Working1Nikon 4S018-039 NSR System PC Connector Input/Output Board 7BLIUBPB Used Working1Therma-Wave 14-009631 Opti-Probe 2600B Digital Interface Rev. B Used Working1Lam Research 715-130092-008 End Effector 200mm Wafer Rev. 2 New1Omron PC-UBRP4B Communication PCB Opti-Probe OP2600B Used2Omron PC-UBRP4B Communication PCB Opti-Probe OP2600B Used5Hitachi HT94217 PCB Used Working1Millipore W2501PH01 Photo Resist Pump Used Working1LAM Research 715-140184-008-E1 200mm End Effector Used Working1Cutler-Hammer JD3250F Circuit Breaker 250 Amp 3 Pole 600 VAC Series C Working1Hitachi K8-022604-23 Gas Flow Control Box AMAT 0190-33364 Used Working1Hitachi 0028 Power Monitoring Module 8-0114 M-511E Used Working1LAM Research 853-012095-008 200mm End Effector New Surplus1DIP Inc. 15049105 PCB Card CDN491 AMAT 0660-01879 Used Working2TEL Tokyo Electron 1D81-0000-0096A9 Gas Board Avio Unity II Used Working3Yaskawa DDMQF-SR2232IR System Robot Controller RC/ELC1 SRC-II 005 Working1Yaskawa JAMMC-SRC03I NOP OM-2 PCB Card DF9200878-B0 Used Working1Nikon 4S017-637-A R.TABLE PCB Used Working1Nikon 4S017-557 PCB Used Working Surplus1Nikon 4S005-145 Optics PCB Set 4S007-318 Used Working1Nikon 4S007-278 PCB LIB-IF Used Working1Hitachi 560-5529 EVAC Control Power Supply PCB DCPS(E) S-9300 SEM Used1Hitachi 560-5529 EVAC Control Power Supply PCB DCPS(E) S-9300 SEM Used1Hitachi 560-5535 Stage Control Power Supply PCB DCPS(ELV) S-9300 Used1Sony XC-ST30 CCD Video Camera DC-700 Camera Adaptor Used Working1Hitachi 560-5509 Power Board MHVP2 PCB S-9300 Working1Fujikin 041249 3-Valve Ion Gauge Manifold Assembly Used Working1Avio E67920 EPD Fiber Optic Comm Module Used Working12Hitachi 569-5518 EVAC Control Panel EVPANEL3 Hitachi S-9300 SEM Used Working3Hitachi 569-5518 EVAC Control Panel EVPANEL3 Hitachi S-9300 SEM Used Working1Hitachi 569-5518 EVAC Control Panel EVPANEL3 Hitachi S-9300 SEM Used Working2Edwards D37215000 Vacuum Flash Module Lot of 2 Used Working4Edwards NGU078000P Vacuum Pump Switch Box Enclosure 6XPDM Used Working1Edwards NGU078000P Vacuum Pump Switch Box Enclosure 6XPDM Used Working2Edwards A52844460 im Interface Module Used Working2Edwards A52844460 im Interface Module Used Working1Edwards A52844463 im Interface Module Used Working2Regal FS-10S Flow Sensor FS-S Lot of 2 Used Working10Hitachi 569-5505 STSense PCB S-9300 CD SEM Used Working6Hitachi 569-5505 STSense PCB S-9300 CD SEM Used Working1Horiba STEC SEC-7340BM Mass Flow Controller SEC-7340 5 SLM N2 Used1Tylan FC-2979MEP5-M MFC Mass Flow Controller 500 SCCM CO TEL Unity II Used8Unit Instruments UTS-1000HP Mass Flow Controller 10 SCCM N2 Used1Sierra Instruments 822S-RFQ-2143-1 Mass Flow Meter 0-300 NLPM AIR Used1Hitachi S-9300 SEM Stage Control Panel5Hitachi S-9300 SEM Stage Control Panel1Hitachi S-9300 SEM Stage Control Panel6Hitachi S-9300 SEM Stage Control Panel1Millipore FC-2910V Mass Flow Controller 10 SLM O2 Used1STEC SV-P1102 Mass Flow Controller SV-P1000 Used9Tylan FC-2900V Mass Flow Controller 20 SCCM He Used7Hitachi 569-5512 CNCORD1 PCB S-9300 CD Scanning Electron Microscope Used6Tylan FC-2900V Mass Flow Controller 200 SCCM SF6 Used1Tylan FC-2900V Mass Flow Controller 15 SLM SF6 Used1Tylan FC-2900V Mass Flow Controller 1 SLM He Used1Tylan FM-360V Mass Flow Meter 1000 SCCM N2 Used1Tylan FC-2900V Mass Flow Controller 1 SLM N2 Used7Laytec EpiCurve Twin TT Curve Optical Head Used Working1Hitachi 568-5602 ALARM1F2 PCB S-9300 Scanning Electron Microscope Used7TEL Tokyo Electron 1D81-000009218 Unity II PCB TYB 121-1/COM Used Working1TEL Tokyo Electron 1D81-000009218 Unity II PCB TYB 121-1/COM Used Working1Celerity FC-2979MEP5 Mass Flow Controller 1 SLM Ar Used2Millipore FC-2979MEP5 Mass Flow Controller 30 SCCM C4F8 Used2Millipore FC-2979MEP5 Mass Flow Controller 20 SCCM C5F8 Used2Tylan FC-2979MEP5 Mass Flow Controller 100 SCCM CF4 Used2Tylan FC-2979MEP5 Mass Flow Controller 200 SCCM SF6 Used2Yaskawa V0SH Robot TEL Tokyo Electron Unity 2 Etcher Used Working3Hitachi 569-5520 VSCN3 PCB S-9300 Scanning Electron Microscope Used7Omron NT-AL001 Link Adapter with Cable Lot of 5 Used Working1Omron NT-AL001 Link Adapter with Cable Lot of 5 Used Working1Ebara ET300W Turbo-Molecular Pump Tested Working1Hitachi 569-5526 I.L.CN PCB S-9300 Scanning Electron Microscope Used8AMAT XR80 Implant CD52/A Voltage Clamp Unit 0090-915981Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. G Used Working1Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Copper Exposed Used2Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Copper Exposed Used2Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Copper Exposed Used3Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. F Copper Exposed Used1Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Used Working2Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Used Working2Novellus 02-130617-00N C3 Vector Spindle Assembly Rev. B No Motors Used Working1Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J No Motors Used Working2V-Tex IRF-03055-2-01 Pneumatic Slit Valve Rollcam NW50 Used Working1LAM Research 853-012350-002-J-LLFD Slit Valve LAM 4420 Etcher Used Working1Hitachi 560-5505 Lens-PS PCB S-9300 Scanning Electron Microscope Used2Hitachi 560-5505 Lens-PS PCB S-9300 Scanning Electron Microscope Used1Gasonics A95-205-01REW-A Lamp Illuminator Power Box As-Is2Gasonics A95-205-01REV-0 Lamp Illuminator Power Box Used Working1Hitachi 560-5544 MHVC PCB Assembly S-9300 SEM Used Working2CTI-Cryogenics 0190-27350 On-Board P300 Cryopump 8113192G001 AMAT Working Spare1CTI-Cryogenics 0190-27350 On-Board P300 Cryopump 8113192G001 AMAT Working Spare2CTI-Cryogenics 0190-27350 On-Board P300 Cryopump 8113192G001 AMAT Working Spare1GaSonics A95-053-02 Lamp Illuminator Power Box Assembly As-Is1VAT B90002011 Pneumatic Gate Valve BGV LOTO Used Working5VAT B90002011 Pneumatic Gate Valve BGV LOTO Used Working1VAT B90002041 Pneumatic Gate Valve BGV LOTO Used Working1Kokusai Electric CX9620 Block Controller Terminal Server Unit Used Working1TEL Tokyo Electron CT2985-544803-11 Gas Chamber Cover Assembly New10TEL Tokyo Electron CT2985-486673-W5 Gas Chamber Cover Assembly New2TEL Tokyo Electron AP9E-0318C-12 Motor I/O PCB TKB3100 ACT 12 Used3TEL Tokyo Electron 2981-600418-11 I/F PCB #007 ACT 12 Used Working1TEL Tokyo Electron 2981-600534-11 Connection PCB BLT/L ACT12 Used Working2TEL Tokyo Electron HTE-OA1-B-11 Oven PCB Board TAB2101 ACT 12 Used Working1AMAT Applied Materials 0100-00986 SDS Gas Interlock PCB Card Issue C Used1AMAT Applied Materials 0100-00986 SDS Gas Interlock PCB Card Issue B Used4Hitachi 545-5514 Power Supply LENS PS S-9300 Used Working1Hitachi 545-5513 Power Supply DEF PS S-9300 Used Working1Asyst Technologies 3200-1171-01 SMT INK I/O BD PCB Used1ASM 03-189611-01 Vacuum Controls I/F Board PCB Used Working2Hitachi 566-5510 PCB Card HV/LENS S-9300 Used Working1Hitachi 545-5570 PCB Card Video AMP S-9300 Used Working1Hitachi 545-5507 PCB Card SCAN CONT S-9300 Used Working1Hitachi 545-5591 PCB Card CRTC(T) S-9300 Used Working1Hitachi 566-5513 PCB Card C/G RAM S-9300 Used Working1Hitachi 545-5592 PCB Card V.MEM(T) S-9300 Used Working4Hitachi 545-5516 Power Supply PCB DC PS2 S-9300 Used Working1Hitachi 545-5505 PCB Card RS232C S-9300 Used Working1Hitachi 545-5504 PCB Card INT/PTM S-9300 Used Working1Hitachi 565-5506 PCB Card MAG.ADJ. S-9300 Used Working1Hitachi 566-5504 CPU PCB Card 566-5503 S-9300 Used Working1Hitachi 566-5346 PCB Card Panel L/IF S-9300 Used Working1Hitachi 545-5515 Power Supply PCB Assembly DC PS1 S-9300 Used Working2Nemic-Lambda Control Rack Power Supplies PDM-100 EWS300 EWS15-5 EWS50-24 Used1ASM 271-0011 Epsilon 3000 Control Rack ESBI 100A S10(E) EVAC Card Used1ASM 271-0011 Epsilon 3000 Control Rack ESBI 100A S10(S) Stage Card Used1ASM 271-0011 Epsilon 3000 Control Rack ESBI 100A RT WT Card Used1ASM 271-0011 Epsilon 3000 Control Rack ESBI 100A S10 HV Card Used9ASM 560-5546 Epsilon 3000 Control Rack AMHSIO Card Used Working3SDS V-DSP240/SP Epsilon 3000 Control Rack Interface Card SDS-9725 Used Working1Melec C-820A Stepping and Servo Motor Controller ASM Epsilon 3000 Used1ASM 568-5559 Epsilon 3000 Control Rack PS Disp Card Used Working1ASM 568-5559 Epsilon 3000 Control Rack PS Disp Card Used Working2ASM 564-5507 Epsilon 3000 Control Rack SGVA Card Used Working1ASM 564-5507 Epsilon 3000 Control Rack SGVA Card Used Working2ASM 560-5502 Epsilon 3000 Control Rack NOMAFC Card used Working3ASM 560-5506 Epsilon 3000 Control Rack NE-O Card Used Working1ASM 560-5506 Epsilon 3000 Control Rack NE-O Card Used Working2ASM 560-5512 Epsilon 3000 Control Rack NMEM Card Used Working1Edwards D37215000 Vacuum Flash Module Lot of 3 Used Working2AMAT 9010-00163ITL XR80 Implanter Gas Box (Single) Used Working2Internix S-9300 Teach Pendant Profort 810 PF810-ASEHD4 Used Working1Internix S-9300 Teach Pendant Profort 810 PF810-ASEHD4 Used Working1EL-O-MATIC EDA-25/A Pneumatic Actuator Epsilon 3000 Used Working1EL-O-MATIC ED002501A00B11K Pneumatic Actuator Epsilon 3000 Used Working1EL-O-MATIC ED002501A00B11K Pneumatic Actuator Epsilon 3000 Used Working2Micropaq CSD-410-N Varible Frequency Drive N2 Series Used Working1Digital Dynamics 27-10157-00 I/O Controller Novellus Concept II Altus Used1Asyst 9700-5819-01 FFU Fan Filter Controller CMS II Rev. 4 ASM Epsilon 3000 Used1Schlumberger 97911337 C/H Comparator PCB ASM Epsilon 3000 Used Working1Hitachi 566-5507 Display Panel PCB Centura RTP AC CAB Used1Hitachi 545-5544 I/O Panel-CN PCB Centura RTP AC CAB Used1Hitachi 566-5508 Panel-C PCB Centura RTP AC CAB Used Working1Fuji Seiki 1012851 Throttle Valve Assembly Hitachi S-9300 SEM Used Working6Hitachi 566-5531 FCM HV PCB Centura RTP AC CAB Used Working1Edwards U20000617 Novellus Process Interface Module im Used Working1Edwards U20000618 Novellus DLCM Interface Module im Used Working1STEC SEC-4550M Mass Flow Controller 50 SLM H2 Used1STEC SEC-4550M Mass Flow Controller 30 SLM HCl Used7STEC SEC-4500M Mass Flow Controller 20 SLM H2 Used6STEC SEC-4500M Mass Flow Controller 10 SLM He Used3ABB SK 828005 3 Pole Contactor EH 800 SK 828 100-DB EH800 Used Working1NSK EMB014CF1-05 Servo Driver Used Working2Panasonic ADKB400BPFADH Servo Drive Used Working1Panasonic ADKB400BPFADH Servo Drive Used Working1Panasonic ADKB100BPFADA Servo Drive Vertron DD803V Used Working1Panasonic ADKB100BPFADA Servo Drive Vertron DD803V Used Working1Panasonic ADKB400BPFADA AC Servo Drive Used Working1Panasonic ADKB400BPFADA AC Servo Drive Used Working1Hitachi 566-5503 Stage Control Unit Assembly 568-5521 S-9300 SEM Used Working3Oriental Motor UDX5107N 5-Phase Stepping Motor Amplifier Super Vexta Working6Lambda LFS-52-5-44147-4 Regulated Power Supply Used Working5Lambda LFS-52-5-44147-4 Regulated Power Supply Used Working4Cutler-Hammer FDB-14K 3-Pole Circuit Breaker Lot of 3 Used Working2Hitachi 32-01 High Voltage Control Unit S-9300 SEM Used Working2Square D KAL262001127 3-Pole Thermal-Magnetic Circuit Breaker Used Working1ASM AC/DC Input/Output Module Epsilon 3000 Used Working4Asyst 6900-1551-01 System Controller Epsilon 3000 Used Working1Progressive Technologies 12612G01 Exhaust Blower Sentry 1000 TEL ACT12 Used2Saginomiya LNE-ZN20-010 Pulse Converter Used Working7Saginomiya LNE-ZN20-010 Pulse Converter Used Working2Saginomiya LNE-ZN20-010 Pulse Converter Used Working6Daifuku LDS-3713A Power Board PCB Used Working1Yaskawa CIMR-J7AA25P5 Drive Controller VS Mini J7 Used Working3Yaskawa CIMR-J7AA21P5 Drive Controller VS Mini J7 Used Working23ADTEC Technology AT-400A PCB 03-170137 GasSonics Aura 2000LL Used Working1ADTEC Technology AT-400A PCB 27-286643-00 GasSonics Aura 2000LL Used Working2Olympus UTPB 26 Optics PCB UTPA86 Hitachi S-9300 SEM Used Working1Mykrolis Photo 250 Dispense Photoresist Pump Wafergard 250 Photo-250 As-Is2Screen SL-2210-FC-A Network Control Used Working5DSTR 4E-4H-00035 Communication Board DNS FC-3000 Used Working1Oriental Motor CSD5807N-P-A11 5-Phase Driver Vexta Used Working5TEL Tokyo Electron LPC-T0008A-11 Load Port CONN Board 100-T0008A-11 ACT12 Used1TEL Tokyo Electron LPC-T0009A-11 Load Port DC/DC Conv.Board 100-T0009A-11 Used1TEL Tokyo Electron LPC-T0007A-11 Load Port Add On Board 100-T0007A-11 ACT12 Used1TEL Tokyo Electron F-T100-2 Resist Pump ACT 12 Used Working6TEL Tokyo Electron TMD002-X8 Resist Buffer Tanks WIth LE Sensor Set Used2TEL Tokyo Electron SH5M015T1 Resist Filter ACT 12 Lot of 2 Used Workin1XP Power F4A3A4A6 DC Power Supply Synergy Series Tested Working1VAT B90002031 Pneumatic Gate Valve BGV LOTO Used Working5Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. K Used Working1Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. K Used Working1SMC VV5Q11-ULB000113 8-Port Pneumatic Manifold EX160-SDN1 Used Working1AMAT Applied Materials VAL-001-1482-01 CHAMBER COMMON INTLK AMAT 0100-01108 Used1Accurate Gas Control Systems AGT354D-1 Chiller Tested Working1TEL Tokyo Electron AP9E-0318C-12 PCB MOTOR I/O BOARD ACT12 Assembly Used1Lam Cooling Electrode Ring 715-11626-001 new1ICT 932303 Ion Assembly SV300 AMAT 50409050000 SEMVision cX As-Is1DNS Electronics FC-3000 Ion Pump Power Supply Used Working2Hine Design Gasonics Aura 2000-LL Wafer Indexer Right Used Working2Hine Design Gasonics Aura 2000-LL Wafer Indexer Left Used Working2Hine Design Gasonics Aura 2000-LL Chamber Transfer Robot Assembly Used Working4Alcab 2005 SD Dual Stage Rotary Vane Vacuum Pump Used Tested Working1AMAT Applied Materials 02-351965-00 Positioning Robot Gasonics Aura 2000-LL Used1Edwards A710-04-907 Dry Scroll Vacuum Pump GVSP30 Tested As-Is1SMC CDQ2F140C-J9092-XC11 Pneumatic Cylinder Used Working1SMC CDQ2F140C-J9092-XC11 Pneumatic Cylinder Used Working1Lam Research 02-376092-00 PED Assembly Machined Finish C3VCTR Used Working1MKS Instruments 253B-26373 Exhaust Throttle Valve With Heater Jacket Used12MKS Instruments 253B-26373 Exhaust Throttle Valve With Heater Jacket Used13SMC 0010-26749 Gate Valve Actuator 300mm Rev. 002 Copper Exposed Used2KLA Tencor 373494 DISTRIB1 PCB Rev. AB SP1 Used Working1Horiba STEC SEC-4600R Mass Flow Controller 100 SLM N2 Used Working5Edwards Y14204000 Temperature Management System TMS Used Working1Orion ETM832A-DNF-L-G2 Power Supply Pel Thermo 3000W Untested As-Is1VAT 09038-PE44-AB01 Pneumatic Gate Valve BGV LOTO Edwards B90002031 Used Working3VAT 09038-PE44-AB01 Pneumatic Gate Valve BGV LOTO Edwards B90002031 Used Working1VAT 09038-PE44-AB01 Pneumatic Gate Valve BGV LOTO Edwards B90002031 Used Working2TEL Tokyo Electron HPT-106-2 Tubephragm Pump Used Working1Ebara ET600WETC04PWM-20M Turbo-Molecular Pump Control Panel Used Tested Working1Ebara ET600WETC04PWM-20M Turbo-Molecular Pump Control Panel Used Tested Working1Newport Z598B Driver Amplifier Z1 Z2 Z3 Used Working1AMAT Applied Materials 0010-33724 High Efficiency RF Bias Match Used Working1Brooks Automation 154622 Robot Controller Series 8 Novellus Used Working1Kondoh Kohsya DM-007 Chemical Concentration Monitor LM-101 New1Saint-Gobain PFD3 322AI Controlled Flow Pump AstiPure New1Donaldson P514718 Chemical Line Filter New1Donaldson P514718 Chemical Line Filter New1Pall VESSEL-NPT-LOT1009 Filter Housing Used1SMC US11475 Pneumatic Manifold 02-141277-00 Used Working1Mitsubishi HC-MF23G1-UE Servo Motor Takamura K9005 B Gearhead Used1Mitsubishi K9020 C Gearhead Used Working1Takasago Thermal Engineering 4K185-881AN Line Chemical Filter New1Takasago Thermal Engineering 4K185-881AN Line Chemical Filter New1Mitsubishi HC-MF13BG1D-UE Servo Motor K6512 D A Used1ADTEC AXR-2000III RF Plasma Generator Novellus 27-360919-00 Used Tested Working1ADTEC AXR-2000III RF Plasma Generator Novellus 27-360919-00 Used Tested Working2ADTEC AXR-2000III RF Plasma Generator Novellus 27-360919-00 Used Tested Working1Orion ETM832A-DNF-L-G3 Pel Thermo Power Supply 3000W 1-Phase 208V Used Working1PTM 5154 Alcab Hybrid Turbomolecular Pump Tested Not Working As-Is1KLA-Tencor 0107339-002 Gas Purge Box Used Working1Leitz 020-448.026 Ergolux Inspection Microscope 307-148.002 Tested As-Is?1Opal 50317890000 SMC-Micro Board PCB Card EK5000 Used Working1Copley Controls 800-494 Servo Drive AMAT SEMVision cX Used Working1Nikon NSR Stepper Controller Z-X3-A4205RU working1MKS Instruments 253B-23366 Exhaust Throttle Valve AMAT 3870-03174 Used Working2MKS Instruments 253A-1-2CF-1 Throttle Control Valve Used Working1Kokusai Electric Heater Tape REAC/B.G. Line Temperature Controller Used Working1V-Tex 172B336X48DCPR03 Slit Valve Missing Door As-Is1Aera FCPI981C4VX9TAA Mass Flow Controller 22-374018-00 Used Working1Nikon 4K177-955-2 RD Reticle Transfer Robot NSR Stepper Series Used Working1Lowara 3SV08T007 B/S Vertical Pump E26001122 Used Working1VAT 15040-PA24-0002 Pneumatic Gate Valve Used Working1Agilent 10706B Plane Mirror Interferometer Cube Corner Used Working?1AMAT RHS-20-50-CC-SP Wafer Transfer Arm Drive Assembly SEMVision cX Used Working1Opal 50312460100 ETPS Assembly AMAT Applied Materials SEMVision cX Used Working1AMAT Applied Materials 0040-23526 300mm Slit Valve Door Plate New1AMAT Applied Materials 0040-23526 300mm Slit Valve Door Plate New1MKS Instruments 100991588 Pneumatic Angle Valve Used Working1CONTEC SPC-CLR-JMC2 Rack Mount Control Computer FA-UNITF8DR New1MKS Instruments 253B-26373 Exhaust Throttle Valve Copper Exposed Used Working1Newport 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 Copper Used Working2Novellus R02-281532-00 RF Match Source Aluminum Coil Refurbished1Novellus 02-281532-00 RF Match Source Aluminum Coil Used Working1V-Tex 172B336X48DCPR03 Slit Valve Missing Door As-Is1Daihen RMN-20E2-V RF Auto Matcher 3D80-000143-V8 Used Working1Daihen AMN-50L-V RF Auto Matcher 3D39-000004-V2 Copper Exposed As-Is1Arlya Scales AR143009X9E Hazardous Enviroment Scale 300lbs Used Working?1Arlyn Scales AR143009X9E Hazardous Environment Scale 300lb New1NSK EP0810AF8-05 Servo Driver Megatorque AMAT 0190-14344 Used Working1Komatsu ABCBA00090 Temperature Controller AIC-7-12-UC-D Used Working1Komatsu ABCBA00090 Temperature Controller AIC-7-12-UC-D Used Working1SMC 0242-34890 Gate Valve Actuatoor Rev. 001 3020-00077 Used Working?1?Panasonic MSMA042A113 AC Servo Motor VRSF-15C-400 Used Working1MKS Instruments 100011252 Pneumatic Angle Valve Used Working1Axcelis 624811 Power Supply Gemini Used Working1Grandville-Phillips 354019-TD-T Micro-Ion Module 354 Lot of 2 Used Working1Yaskawa ERCR-N500-A001 Robot Controller NXC100 Used Working1Orion ETC902A-NSCP01-L Heat Exchanger Pel Thermo Used Working1MKS Instruments 253B-26373 Exhaust Throttle Control Valve Copper Exposed Used1MKS Instruments 253B-26373 Exhaust Throttle Control Valve Copper Exposed Used2MKS Instruments 253B-26373 Exhaust Throttle Control Valve Copper Exposed Used8MKS Instruments 253B-13264 Exhaust Throttle Control Valve Used Working5MKS Instruments 253B-13264 Exhaust Throttle Control Valve Used Working1AMAT Applied Materials NM0004-5866 Spindle Bellows New1MKS Instruments LPJ1-40-AK-CLZXXX Pneumatic Angle Valve Used Working3MKS Instruments LPJ1-40-AK-CLZXXX Pneumatic Angle Valve Used Working2Sierra Instruments 824S-RFQ-2297 Mass Flow Meter 0-100 NLPH Used Working1Lam Research 716-011036-001 Ring Filler Lower Rev. G??New1Nor-Cal 090409-22 In-Line Pneumatic Valve Used Working4KLK Inc A-10 Ergo Flipper Right and Left Lot of 3 As-Is?1Lam Research 853-012350-002-J-LLFDR Outer Gate Assembly No Gate Untested Used1Lam Research 853-012350-002-F-230S Outer Gate Assembly Untested Used Working1OEM-25B-01 ENI OEM-25B-01 RF Generator Rev. D Used Tested Working1Parker 1396.020 Stepping Motor Drive Digiplan AM5 Used Working9PDX 2500 AE Advanced Energy 27-293721-00 MF Generator Used Tested Working?1?PDX 2500 AE Advanced Energy R27-293721-00 MF Generator 3156012-201 Used Tested1Sanyo Denki PMDPA1C3P20 PM Driver Type C AMAT 1080-01276 Used Working4Sanritz Automation SVME/AT-BCN/V PCB Card SVA603V-1-S Tel Unty II Used Working?1Sanritz Automation SVME/AT-BCN/V PCB Card SVA603V-1-S Tel Unty II Used Working?2TEL Tokyo Electron TEB-302-1/BP PCB Board EC81-000010-11 Unity II Used Working1Orion Machinery ETC902-NSCP-L2 Heat Exchanger Pel Thermo New2Edwards SDT Gas Block (KROM) Manifold VAS1-0/10R/NQ DG17VCT8-SWG New?1Lowara SV206N07 Centrifugal Pump Edwards Y11601294 Used Working?2Fostec 8300.2 Fiber Optic Light Source 8375 Lot of 2 Untested As-Is1Air Products 809-4703836044 Gas Cabinet NP Pigtail GG500 PGTL DP New1Recif Technologies TSSR02A10000 Tool Checking Laser Jig NS-5/P2 As-Is1Ga Sonics A95-107-01 LED and Interface Control Panel Used Working20GaSonics A95-107-01 LED and Interface Control Panel Rev. J Used Working1Ga Sonics A95-107-01 LED and Interface Control Panel Rev. L Used Working1GaSonics A95-107-01-R-D LED and Interface Control Panel Used Working3TEL Tokyo Electron TEB108-12/SIO PCB Card EC80-000117-32 Used Working1VAT 26324-KA11-1001 Pneumatic Angle Valve TEL Tokyo Electron UnityII Used4VAT 26328-KA11-1002 Pneumatic Angle Valve TEL Tokyo Electron UnityII Used4VAT 62034-KA18-1005 Pneumatic Angle Valve TEL Tokyo Electron UnityII Used5VAT 26334-KA11-1001 Pneumatic Angle Valve TEL Tokyo Electron UnityII Used6RECIF Technologies 233435648 Standard Antenna FOI2M662IJ Copper Used Working2Vicor VI-NU4-EM Switching Power Supply FlatPAC Used Working1Oriental Motor PB206-401 Dynamic Brake Motor 2GN6K Gear Head Lot of 3 Used1Hitachi HT98822 PCB Board BD14 Ver A Used Working3Oriental Motor P4554-NA-A15 5-Phase Stepping Motor Vexta Used Working?2Phasetronics P1050-X2-60 Power Control System Lot of 2 Used Working11Aera FC-D985CT-BH Mass Flow Controller FC-D985c 200 CCM SF6 Used Working2Aera FC-D985CT-BH Mass Flow Controller FC-D985c 400 SCCM NF3(0.479) Used Working2Aera FC-D985CT-BH Mass Flow Controller FC-D985c 300 SCCM CI2(0.837) Used Working1Aera FC-D985CT-BH Mass Flow Controller FC-D985C 200 SCCM HBr Used1CMC Cleveland Motor Controls IC-1115 Servo Motor MTR0059 Used Working1Magmotor 720101152 Servo Motor MTR0059 Used Working1Panasonic AMKA400B10LAK AC Servo Motor Used Working1Mitsubishi HC-MF23G1-UE Servo motor Takamura K9005 Gearhead Used?- 1416543717361Sanyo Denki 103H8582-80E7 Stepping Motor StepSyn Used Working1Mitutoyo Corporation PSU15 Power Suppy Unit Used Working2Furon 1103307 Solenoid Valve DV2-144NCD2 Reseller Lot of 8 Used Working1GaSonics A95-108-02 LED and Interface Control Panel PCB Rev. J A89-013-01 As-Is19Asyst 853-4290-101 Load Lock Elevator GaSonics 94-1119 Used Working?1Hine Design 94-1119 Load Lock Elevator Used Working1Control Concepts 1025-24-40-4/20MA-SP12 SCR Power Controller Used Working1Diavac Limited LCAV-40HKT Pneumatic Angle Valve Used Working?4Kensington Laboratories 77-4000-6108-00 Z Axis Robot PCB 7-0003-02 v10.45 Used4Kensington Laboratories 77-4000-6107-00 Waist Axis PCB Card ARM/WST/TRSO Used5Kensington Laboratories 77-4000-6043-01 5-Axis Motherboard PCB Card Used Working4STEC SEC-4500M Mass Flow Controller AMAT 3030-05704 20 SLM N2 Used1STEC SEC-4500M Mass Flow Controller AMAT 3030-02330 10 SLM O2 Used1STEC SEC-4500M Mass Flow Controller H2 10SLM Used Working?3STEC SEC-4500M Mass Flow Controller O2 10SLM Used Working?2Nikon 4K177-955-1 RD Reticle Transfer Robot with End Effector NSR-S204B Used1STEC SEC-4550MC-SUC Mass Flow Controller N20 20SLM Used Working?1TEL Tokyo Electron 012-004123 Slit Valve Unity II Used Working8Tylan FC-2979MEP5 Mass Flow Controller 200 SCCM SF6 Used Working5Tylan FC-2979MEP5 Mass Flow Controller 1 SLPM AR Used Working?5Tokyo Electron TEL Unity II Slit Valve 012-004124 Used Working7Edwards NRY191000 Eason Control Box Used Working1BOC Edwards QDP40 Dry Vacuum Pump Used Tested As-Is?1Nikon RRW-07 V4.2 Power Controller NSR Stepper Used Working1Aera TC FC-D985CT-BH Mass Flow Controller FC-D985c 300 CCM C12(0.856) Used1Toshiba VFS7-2022UP (2) Transister Inverter S7-01S Untested Used As-1s1Genmark HBP14SD Back Plane PCB Ver 6.0 Used Working1Ultratech 03-20-02032-02 Power Distribution PCB Card Used Working1Ultratech 03-15-04930-02 GEN I/O #2 Drive Breakout Transition PCB Card Used1Ultratech 03-15-06698-02 General I/O Breakout 3 PCB Card Used Working1Nikon Z-X3-B2405 Stepper Controller E0R05-9437A Used Working1Ultratech 03-15-00302-02 TRNSN Driver Stepper WAS PCB Card Rev. E Used Working1Ultratech 03-20-02040-02 Focus Driver Transition PCB Card Rev. G Used Working1Ultratech 03-20-01130-06 Stage Motor Driver Transition PCB Right X Stage Used1Ultratech 03-20-01130-08 Stage Motor Driver Transition PCB Right Y Stage Used1Ultratech 03-20-01130-05 Stage Motor Driver Transition PCB Left X Stage Used1Ultratech 03-20-01130-07 Stage Motor Driver Transition PCB Left Y Stage Used1Ultratech 03-18-03946 Keyboard and Mouse Switch PCB Card Used Working1Ultratech 03-20-01124-04 BD Transition Stage ASH PCB Card Rev. H Used Working1Ultratech 03-20-01299-02 BD Transition Alignment ASH PCB Card Rev. D Used1Ultratech 03-20-01961 5 Axis ASH Focus Transition PCB Card Rev. F Used Working1Ultratech 03-15-02702 Transition Step Motor Cooler ASH PCB Card Used?1Ultratech 03-20-01705-04 General I/O Transition PCB Card Rev. J Used Working1Ultratech 03-15-00308-02 Stepper WAS Transition ASH PCB Card Used Working1Tylan FC-2979MEP5 Mass Flow Controller 100 SCCM CF4 Used Working2Tylan FC-2900V Mass Flow Controller 5 SCCM O2 Used Working?1Tylan FC-2979MEP5-M MFC Mass Flow Controller 500 SCCM CO TEL Unity II Used3Millipore FC-2979MEP5 Mass Flow Controller 5 SCCM O2 Used Working1Ultratech 03-20-01124 BD Transition Stage ASH PCB Card Rev. H Used Working1Ultratech 03-20-01705-02 General I/O Transition PCB Card Rev. J Used Working1Ultratech 03-20-01705-06 General I/O Transition PCB Card Rev. A Used Working1Ultratech 03-15-02066-02 6-Axis Laser Transition Used Working6STEC SV-P1203 Mass Flow Controller Air Pneumatic SV-P1000 Used Working?8Yaskawa V2SB End Effector TEL Tokyo Electron UnityII Used Working6Yaskawa V0SH 200mm Prealigner Chamber TEL Tokyo Electron UnityII As-Is1Recif SPP300F05-A3500 Wafer Handling Motor Transmission Used Working1AMAT Applied Materials 0010-09340 CVD Susceptor Lift Used As-Is2Nikon KAB110001360-0 Wafer Handler Chuck Optistation Used Working1Arch Genstream 29B-0147 User Board PCB Rev. D Used Working1Semitool 14831A-1 STD BIT Output PCB Card Used Working1Electroglas 251411-002 CPU 020 PCB Card Rev. J/R Used Working1PRI Automation BM18251-RD PCB Board Used Working1PRI Automation BM18251/H PCB Board PB18251 Used Working3SVG Silicon Valley Group 80214B201 TEMP Sensor PCB 80214B Used Working5AMAT Applied Materials 0100-09099 Chamber Interconnect PCB Rev. F Used Working2AMAT Applied Materials 0100-09099 Chamber Interconnect PCB Rev. G Used Working1Semitol 14831A-1YN STD BIT Output PCB Board Used Working1AMAT Applied Materials 0100-09099 Chamber Interconnect PCB Rev. E Used Working2Electroglas 249914-002 Motherboard POWER/DAR PCB Board Rev. N Used Working1Melco Technorex Optical Transmission EQ-131AD-D working1Electroglas 249914-002 Motherboard POWER/DAR PCB Board Rev. E Used Working1Electroglas 244288-001 Tester Interface PCB Board Rev. AD Used Working1PRI Automation BM19932RA PCB Board PB19932 Used Working1Electroglas 250047-001 ILLUM & OPT CONT II PCB Board Used Working1PRI Automation BM24480L01R PCB Board PB12345 Used Working1Electroglas 251411-002 CPU 020 PCB Card Rev. D Used Working2PRI Automation BM12901RD PCB Board PB12901 Used Working1Electroglas 247219-002 Prealign Subsystem PCB Board Rev. P Used Working1Foxbro B1279EN PCB Board Assembly 02-187742-01 Used Working?1Electroglas 247225-001 XFR Arm Subsystem PCB Card Rev. J/T Used Working1Electroglas 244288-001 Tester Interface PCB Board Rev. AB/AF Used Working1Electroglas 244736-001 Theta Z Inker Drivers PCB Board Rev. G Used Working1AMAT Applied Materials 0100-90790I Wafer Arm Position Sensor Used Working1Electroglas 253491-002 Communication Board PCB Rev. E Used Working1SVG Silicon Valley Group 859-0866-003 Interface PCB Board Rev. B Used Working1GaSonics 90-2570 Controller Board PCB Rev. A FabMotion Used Working1AMAT Applied Materials 0100-09058 Operator Control Panel Board PCB Rev. G Used1Electroglas 247439-001 Solenoid I/O Interface PCB Board Rev. D Used Working1Electroglas 246713-001 PL TEMP Logic Mux PCB Board Rev. M Used Working1GaSonics 90-2650 Controller Board PCB Rev. A Used Working1Electroglas 250259-001 CRT Controller Lamp Driver PCB Card Rev. C Used Working2AMAT Applied Materials 0100-76088 Centerfinder Sensor Board 5 6 8 Inch PCB Used1Ultratech Stepper 03-20-00778 Slave Processor Display PCB Board New?1Tohan TD-102 Servo Drive 5TH56D-253 Used Working2Electroglas 250265-001 Operator Console II Assembly PCB Rev. E Used Working1PRI Automation BM24480L01RA PCB Board PB12345 Rev. B Used Working1PRI Automation BM2347SL11/AB PCB Board PC23475 Used Working1Electroglas 251411-002 CPU 020 PCB Card Rev. F Used Working1Electroglas 114824-002 28V Solenoid Drivers PCB Card Rev. B Used Working2Robitech 858-8164-001 Reticle Handling Robitech Interface PCB Card Used Working1SVG Silicon Valley Group 99-8039501 U5 Ushio Interface PCB Used Working1Lam Research 810-017004-001 Solenoid Interlock Board Rev. G Used Working1Electroglas 247222-002 Linear Motor Subsystem Assembly PCB Rev. L Used Working1Lam Research 853-012350-002-J Outer Gate Assembly Used Working1TEL Tokyo Electron 1D81-000120 PCB Board TYB419-1/PC Unity II Missing Tabs Used1TEL Tokyo Electron 1D81-000120 PCB Board TYB419-1/PC Unity II Used Working4HP Hewlett-Packard 16320-66551 Pin Card PCB 033530017 HP4062 Lot of 10 Used3HP Hewlett-Packard 16320-66551 Pin Card PCB 033530017 HP4062 Used Working13Hamamatsu HC125-04 PMT Detector Assembly Photo Multiplier Lot of 2 As-Is1Hamamatsu HC125-04 PMT Detector Assembly Photo Multiplier Used Working13Hamamatsu HC125-04 PMT Detector Assembly Photo Multiplier Used Working1Sanyo Denki PM-UDPD2A01-30 PM Driver Used Working3Nikon 4S782-766 Stepper Controller Driver Used Working1Kyoto Denkiki KDS-30350W DC Power Supply Used Untested As-Is1PRI Automation BM24600RC Safety Left PCB Board PB24600 Used Working1PRI Automation BM24600RA Safety Left PCB Board PB2460 Used Working1PRI Automation BM119932 PCB Board PB19932 Used Working1Varian 101866001 ITs Rev 7 Interface Used Working1Copley Controls 215 Servo-Amp Control S/S 372-36314 Used Working1Schott-Fostec 207502 Fiber Optic Light Source DCRII Used Working1Gems Sensors 99-41066-01 Liquid Level Sensor 233154917 Used Working1Electroglas 8767-1/001 Camera Module Used Working1Robitech 980-4825 Pneumatic Control Valve Module PCB Lot of 3 Used Working1Thermalogic 121-336 PCB Card RA2015-04 Used Working3Thermalogic 121-201-F PCB Card RA2015-03 Used Working3CKD AMDS00-8BUS Pneumatic Pressure Regulating Valve Assembly Lot of 2 Used3Hokuyo Automatic DM-HB1 Lot of 2 Transmission Distance Device Used Working1Granville-Phillips 352001 Gauge Controller Series 352 Rev. 12 Used Working2PRI Automation BM15506L02 PCB Board PB10556 BM15506L02RA Used Working1PRI Automation BM24482 D PCB Board PB24482 BM24482/D Used Working1PRI Automation BM24482 PCB Board PB24482 BM24482R/D Used Working2AMAT Applied Materials 0100-09054 Analog Input Board PCB Card Rev. H Used?1SVG Silicon Valley Group 99-80207-02 Spin Station Expansion PCB Rev. C Used2PRI Automation BM06241 PCB Board Assembly PB020807 Used Working1Thermalogic 121-201X PCB Card RAZ015-03 Used Working12SVG Silicon Valley Group 99-80207-02 Spin Station Expansion PCB Rev. E Used1Pittman GMT9413H903 Gear Motor NM0001-2453 Ametek Used Working7SVG Silicon Valley Group 99-80170-01 Vacuum Sensor PCB Board Used Working5Verteq ST800-CC50-MC2PX-SCP Amplifier Unit AE 3156023-000 Untested As-Is?1Berkeley Process Control USA2-11-28-UR Multi-Axis Servo Pump Amp Damaged As-Is1Berkeley Process Control USA2-11-28-UR Multi-Axis Servo Pump Amp Used Working4PRI Automation BM19932/C PCB Board PB19932 Used Working?1SVG Silicon Valley Group 80184C Vacuum Sensor PCB Board Used Working1GaSonics A90-031-03 PLASMA/LAMP Failure Detection PCB Rev. A Used Working1GaSonics A90-031-01 PLASMA/LAMP Failure Detection PCB Rev. C Used Working1PRI Automation BM24600 Safety Left PCB Board PB24600 Used Working1PRI Automation BM18251R/D PCB Board PB18251 Used Working3Electroglas 254921-001 Prealign Module Interface PCB Board Rev. B Used Working2Electroglas 246713-001 PL TEMP Logic Mux PCB Board Rev. K Used Working1Electroglas 247012-001 LIN MTR INTFC PCB Board Rev. E Used Working1Fusion Semiconductor 249181 Dual Cassette Handler PCB Card Rev. E Used Working1Lam Research 810-17016-001 Stepper Motor Driver PCB Card Rev. D Used Working1Lam Research 810-17016-1 Stepper Motor Driver PCB Card Rev. C Used Working?1Furon 1103307 Solenoid Valve DVZ-144NCD21CMC Cleaveland Machine Controls JGHT-4921-1 PM Servo Motor 34-611-778-4132 Used?1Inductive Componets 1C-10115-1 Servo Motor MTR0059 BM15086 Used Working2Lam Research 853-012550-001-H-LEAN Wafer Shuttle Assembly Used Working1Berkeley Process Control ASM121-A-0/B-22-NB/10 Servo Motor Used Working4NTI VOPEX-2KV-A 2-Port Video Switching Module Used Working?1Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. K Not Working As-Is?3Reliance Motion Control 540-037-0765 Stepping Motor E540 Used Working1Reliance Motion Control 240-035-0765 Stepping Motor E240 Rev.C Used Working1Reliance Motion Control 240-035-0765 Stepping Motor 112-144 Used Working2Maxon Motor 44.060.000-00.09-071 Shuttle Motor 112-087 Used Working1Millipore WCDS000F4 Photoresist Dispense Pre-Dispense Controller Working Spare12Millipore WCDS000F4 Photoresist Dispense Pre-Dispense Controller Working Spare3Pall LDFN05F00206E51 Kleen-change Filter EMFLON-PF New1Lambda LFS-45A-24 Regulated Power Supply Untested Used Working1Festo 0010-05311 Megasonic Box 300mm 8611/13015611 Used Working1AMAT Applied Materials 0010-09181 DC Power Supply Precision 5000 Used Working1M.E.C. Tech MEC30008-1047 Spin Chuck Assembly 200 MM Rev. A1Phasetronics P1038A Phase Angle Lamp Drive AMAT 0015-09091 Used Working1Ashcroft XLdp Exhaust Flow Control Assembly Used Working5Pro-Face GP37W2-BG41-24v Digital Graphic Panel Used Working1TEL Trias 3D80-000252-V2 AC Servo Motor Power Unit Used Working1Cole-Parmer 7553-30 Masterflex Pump Motor with Double Head Used Working3Cole-Parmer 7553-30 Masterflex Pump with Peristalic Pump Head Used Working3Cole-Parmer 7553-30 Masterflex Pump Motor with Double Head Used Working5FABCO-AIR FPS-1064/SVG#203-122 Pneumatic Cylinder The Pancake Line Used Working1Maxon 44.025.000-00.09-084 DC Motor Swiss Made Used Working1ELPAC BFS 200-48 Power System Assembly Used Working1Mykrolis GHPV02P01 Gaurdian HPX 20" Chemlock Cartridge New1Hitachi LDBM Stepper Motor S-9300 SEM Used Working8Shimadzu EI-3203MD Turbomolecular Pump Controller 1.8K TMP Motor Fault As-Is1AMAT Applied Materials 0200-03259 Slotted Preheat Ring .15 T4K 300mm EP New1Ultratech Stepper 04-15-01721 Alignment Shutter Assembly Rev. J Used Working1VAT B90002031 Pneumatic Gate Valve BGV LOTO Copper Damaged Connector Used As-Is3Hitachi RYY-1 PCB Board M-712E Dry Etch Used Working2Hitachi DT-01 PCB Board Rev. A Hitachi M-712E Dry Etcher Used Working1Hitachi PROCESS 2 CONTROLLER Module BBPS-11 M-712E Dry Etcher Used Working1Hitachi PROCESS 1 CONTROLLER Module BBPS-11 M-712E Dry Etcher Used Working1Hitachi PUMP 2 CONTROLLER Module BBPS-11 M-712E Dry Etcher Used Working1Hitachi Pump 1 Controller Module BBPS-11 M-712E Dry Etcher Used Working1Hitachi DC Power Supply UNIT 3 M-712E Dry Etcher Used Working2Hitachi DC Power Supply Unit 2 M-712E Dry Etcher Used Working1Pearl Kogyo ZDK-916L2C-P EC2 TUNE CONTROLLER Used Working6Pearl Kogyo APU500 EC2 Monitor Unit Used Working6Pearl Kogyo APU500 EC1 Monitor Unit Used Untested As-Is1ADTEC AX-2000EUII-N RF Generator 27-286651-00 Used Tested RF Trip Fault As-Is1ADTEC AXR-2000III RF Plasma Generator Novellus 27-360919-00 Tested As-Is1Edwards C5118 High Vacuum Tube Tee ISO100 ISO-K NW25 Used Working3Edwards B4138 High Vacuum Tube Tee ISO80 ISO-K NW25 iQDP Series Copper Used6VAT 26332-KA71-1001 Angle Isolation Valve Used Working3VAT 26332-KA11-1001 Angle Isolation Valve Copper Exposed Used Working4TMP Shimadzu TMP-3203LMC-K1 Turbo Molecular Used Untested As-Is1UNIT Instruments UFC-8165 Mass Flow Controller AMAT 3030-10989 100cc O2 Used1Celerity UFC-8165 Mass Flow Controller AMAT 0190-24834 1L H2 Used Working1UNIT Instruments UFC-8165 Mass Flow Controller AMAT 3030-10999 50cc Ar Used1Celerity UFC-8165 Mass Flow Controller AMAT 0190-24835 600cc He Used Working3Celerity UFC-8165 Mass Flow Controller AMAT 0190-24838 50cc Ar Used Working1DCG-200Z ENI DC22S-Z022000010A Plasma Generator Rev. A 0190-08034 Used Tested1DCG-2007 ENI DC22S-7022000010A Plasma Generator Rev. F 0190-08034 Used Tested1Rite Track 38464-01 UNGR Centering Device Arm 233154533 Lot of 16 Used1ASML 8790135 Unload Arm Litho DSQ DST 233448878 Used Working2Micro Switch FE7D-RPS7-M Optical Sensor Lotf of 2 Used Working1B&G Precision 56-010559 Overcenter SMIF OP Paddle Used Working1Novellus 0275-727375 Wand Paddle Assembly Rev. C Used Working1Nor-Cal 22-2873 Adaptive Pressure Controller Inblisys Untested As-Is1Brooks Automation T1102-01-290-14 Cryotiger Compressor Polycold Untested As-Is1Kawasaki 50818-1176 Robot Select Teach Repeat Control Box 50977-3262 Used1TMP Shimadzu EI-203MD Turbo Molecular Pump Controller Untested Damaged As-Is1Shimadzu EI-3203MD Turbomolecular Pump Controller 2.0K TMP Tested Used Working1Swagelok SS-4BMG Metering Bellow-Sealed Valve Lot of 3 Used Working6Pentagon Technologies 33-3054-58 Chuck Ring Shield API-433 Anelva PVD Used1Pentagon Technologies API-604 Holder Ring Anelva PVD New1Pentagon Technologies 233-5050-23 Chuck Ring Anelva PVD New1Granville-Phillps 275262 Vacuum Convectron Gauge 275 Lot of 2 Used Working1Ultrapointe 000678T Lon Motor Driver PCB 00045 Used Working1Ultrapointe 001002 Lon Motor Driver PCB 0045 Used Working1Ultrapointe 00678T Lon Motor Driver PCB 0045 Used Working1Ultrapointe 001050T Lon Motor Driver PCB 00045 Used Working2MKS Instruments 100319605 High Vacuum Adapter Tee Lot of 2 Used Working1Particle Measuring Systems FiberVac Measuring Module Untested1Tokyo Keiso SFC-700 Ultrasonic Flowmeter Used Working1Novellus A95-056-01 Endpoint Detector Used Working2Edwards High Vacuum Adapter Elbow Tee ISO100 ISO-K to NW50 NW40 9.25" Used1Keyence LV-21A Digital Laser Sensor Lot of 4 Used Working1Edwards D37370596 iQDP Extension Cable 4 Pin XLR 15M Lot of 2 Used Working4Adaptec AHA-1522A SCS1 Controller Card 555300-01 A Opti-Probe 2600B Used Working1Tescom 44-3213H282-296 Manual Pressure Regulator Brass 44-3200 Lot of 6 Used1Tescom 44-3213H282-296 Manual Pressure Regulator Brass 44-3200 Lot of 6 Used1Novellus 15-155331-00 ARM Dial Indicator MTG VCTR New1SVG Silicon Valley Group 99-80170-01 Vacuum Sensor PCB Rev. F Used Working1SVG Silicon Valley 80214B301 Temperature Sensor PCB 80214B Used Working2SVG Silicon Valley Group 80214B101 Temperature Sensor PCB 80214B Used Working2Hitachi 568-5569 Laser to LIF PCB NPSDAMP Used Working1Varian L6181-701 Pneumatic Angle Bellows Valve NW-16-A/O Used Working1Air Products E11-7-N145B Manual High Flow Regulator Used Working1GO Inc. BP8-108939 Manual High Flow Back Pressure Regulator Used Working4Novellus A95-060-01 Endpoint Detector Rev. D Used Working1AMAT Applied Materials 0050-81930 HE Producer Split Weldment Lot of 3 New1Yaskawa CIMR-J7AAB0P4 Inverter 200V 0.4kW VS mini J7 Used Working1Tescom 44-3213H282-296 Manual Pressure Regulator Brass 44-3200 Used Working19Swagelok SS-45S8 40 Series Manual Ball Valve Lot of 2 Used Working9Kyosan Electric HPK10ZI-TE1 DC Power Supply Used Working2Pearl Kogyo F-2000-0.1 RF Filter Used Working1Pearl Kogyo CF-500-400K(CE) RF Power Supply Hitachi M-712E Used Working6Pearl Kogyo LP-2000-800KBX RF Power Generator Hitachi M-712E Used Working1ESDP 30 Edwards ESDP30A Dry Scroll Pump Used Tested Not Working As-Is1SVG Silicon Valley Group 80166F Station CPU PCB Board Used Working3SVG Silicon Valley Group 80166F-E-01 Station CPU PCB Board Used Working1Greene Tweed 5641A1299SSE38 Novellus Gate Used Working1MDA Scientific 1283-1039 Lifeline Gas Monitor Extractive Used Working1UltraPoint 001004 White Light Power Supply Used Working1UltraPoint 001004 White Light Power Supply Used Working1Ansul 442R Agent Release Alarm Panel Used Working2Ansul 442R Agent Release Alarm Panel Used Working1Daihen HFA-30A-V RF Match TEL Tokyo Electron 3D80-000158-V3 Used Working1Premium NP-0588 AC/DC Power Supply ASML 4022.471.84293 Used Working2Premium NP-0588 AC/DC Power Supply ASML 4022.471.84293 Used Working1Premium 0588.2 AC/DC Power Supply ASML 4022.471.84295 Used Working1Horiba STEC SEF-8240FHM Digital Mass Flow Device VC-1420 Used Working2Horiba STEC LF-210A-EVD Liquid Mass Flow Meter AMAT 3030-10059 Used Working1MKS Instruments 622A12TBE Baratron Pressure Transducer Used Tested Working1MKS Instruments 622A12TBE Baratron Pressure Transducer Used Tested Working1Tylan General CDLD-21S06 Pressure Gauge Used Tested Working2Leybold 100P Dry Vacuum Pump As-Is1MDC High Vacuum Adapter Tube Flange ISO100 ISO-F to QF100 3.5" Used Working1Edwards B58120000 DN100 ISO Vibration Isolator EXT Pump Used Working1Edwards B58120000 DN100 ISO Vibration Isolator EXT Pump Used Working3MKS Instruments LM69 Spectra Vacuum Controller Used Working1Edwards Vibration Isolator Platb STP Turbomolecular Pump Used Working1TMU Pfeiffer TMU 071-003 P Turbomolecular Pump Assebmly PM C01 692A Used Working1GVSP 30 Edwards A71004907XS Dry Scroll Vacuum Pump Copper GVSP30 Tested As-Is1Sanyo Denki 103H8582-80XE42 Stepping Motor StepSyn Used Working1Sanyo Denki 103H8582-80XE42 Stepping Motor StepSyn Used Working1Cleanpart 500136661 TPU Kronis Head Assembly Gas Abatement Used Working1Iwaki SB-5SV-L-04 Bellows Pump Used Working1Schlumberger Technologies 9615211 Clock Buffer CNB PCB Used Working2Berkeley Process Control 100-000-721-01 Servo Motor 15-152134-02N Used Working1Asyst 4002-6633-01 Spartan EFEM Wafer Mapper Shuttle FRID Rev. 002 Used Working1Pfeiffer PK T05 100 Dry Vacuum Pump MVP 015-2 Used Tested Working2GaSonic A89-013-01 LED and Interface PCB Control Panel Reseller Lot of 11 As-Is1Acurate Gas Control Systems AGT3354D-1 Chiller Siezed Pump Used Tested As-Is1TMP Shimadzu EI-3203MD Turbo Molecular Pump Controller 1.8K Damaged Ports As-Is1Novelus 02-149841-02 C3 Vector 300mm Assembly 15-156474-02 Used Working1Novellus 02-149841-01N C3 Vector 300mm Assembly 15-156474 Used Working1Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Used Working1Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Copper Exposed Used1Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Copper Exposed Used1Screen SL-4250-Z Network Communication Module Used Working1RKC Instruments DSX-BOL-11-33A Temperature Controller PCB DSX-BOL Used Working1RKC Instruments DSX-BOL-11-33A Temperature Controller PCB DSX-BOL Used Working4AMAT Applied Materials 0100-00567 Gas Box Distribution PCB Board Used Working1DIP CDN391-20 Digital In/Out PCB Card AMAT Applied Materials 0190-07750 Used1AMAT Applied Materials 0100-20458 PVD/IMP Chamber Interlock Rev. 12 Used Working1Hitachi BBEZ-01 Interconnect PCB Board Used Working1Sanyo Denki PV2A015SMT1P50-01 Servo Drive AMAT 0190-12138 Used Working1SVG Lithography Systems 879-4027-001 Wafer Handling Robot Rev. F for parts As-Is3SVG Lithography Systems 879-0427-002 Wafer Handling Robot Rev. F for parts As-Is1SVG Lithography Systems 879-9075-011 Wafer Handling Robot Rev. A for parts As-Is1Omron NT-AL001 Link Adapter Reseller Lot of 2 Used Working1V-Tex 172B336X48DCPR03 Pneumatic Slit Valve Rollcam Used Working2Lambda EMI 00500003 Power Supply 5kW SX502S-5KV-NEG-DC-AIR-1370 Untested As-Is1Iwaki CMD-101 Magnet Pump Baldor Motor 350255R05261 Novellus 19-116970-00 As-Is1Baldor 35025SR052G1 Inverter Drive Pump Motor 1/3 HP Used Working1Edwards C5169 High Vacuum Adapter ISO63 to ISO100 ISO-K NW25 iQDP Copper Used6Edwards C5118 High Vacuum Tube Tee ISO100 ISO-K NW25 Copper Used Working6SVG Lithography Systems 879-0427-001 Wafer Handling Robot Rev. E for parts As-Is1Edwards C5371 High Vacuum Adaptor Tee ISO80 to ISO100 ISO-K NW25 Used Working2Edwards B4138 High Vacuum Tube Tee ISO80 ISO-K NW25 iQDP Series Used Working2Edwards C5213 High Vacuum Tube Tee ISO100 ISO-K NW25 Copper Exposed Used Working1Edwards C10009049 ISO100 ISO-K Flange Blank-Off MKS SST100760110 Lot of 5 Used1SVG Lithography Systems 851-9075-007 Wafer Handling Robot Rev. B for parts As-Is1Fuji Seiki 1010728 Pneumatic Throttle Valve Used Working1Oriental Motor UDK5114VN 5-Phase Servo Driver Super Vexta Used Working2Yaskawa Servo Controller TEL Unity 2 BC930310 working1Hitachi 568-5566 Alarm IF PCB Board S-9300 CD Scanning Electron Used1Hitachi 568-5535 VSCN PCB Board S-9300 CD Scanning Electron Microscope Used1Hitachi 568-5534 EVCN PCB Board S-9300 CD Scanning Electron Microscope Used1Anelva 954-770 Vacuum Pressure Sensor Switch Hitachi S-9300 CD SEM Used Working1Anelva 954-770 Vacuum Pressure Sensor Switch Hitachi S-9300 CD SEM Used Working2Fuji Seiki 1100204 Pneumatic Vacuum Diaphram Right Angle Valve Used Working4Hitachi Ion Pump Power Supply with Backup Battery S-9300 CD SEM Used Working1Sony DC-77RR CCD Camera Adaptor Assembly Hitachi S-9300 CD SEM Used Working1Hitachi Robot Stage Power Supply Assembly EWS150-24 S-9300 CD SEM Used Working1Tel Tokyo Electron ISA Slot PCB Module TEB302-1/BP Unity II Used Working1Yaskawa SGDA-01AS AC Servo Drive Servopack Used Working8Vacuum General CMLA-21 Capacitance Diaphram Gauge Lam 853-017643-003-D-OP81Hitachi 560-5531 CCD Camera Motor Assembly Hitachi S-9300 CD SEM Used1Hitachi Stage Control Unit 566-5535 Power Supply Relay Assembly S-9300 SEM Used1Hitachi S9300 Wafer Inspection Transfer Robot Scanning Electron Microscope Used2Force Computers 880-12535-101.A1 VME PCB Lam 810-017038-002 Rainbow 4420 Used1Mykrolis FC-2900V-V4 Mass Flow Controller 500 SCCM C2F6 Used Working1Tylan FC-2900M Mass Flow Controller 500 SCCM CL2 Lam 797-91413-605 Used Working1Tylan FC-2900V Mass Flow Controller 5 SCCM O2 Lam 797-90865-014 Used Working1Tylan FC-2900V Mass Flow Controller 50 SCCM O2 Lam 797-90865-304 Used Working1STEC SEC-4400M Mass Flow Controller 500 SCCM HCI Used Working5Kensington 15-3600-0300-01 300mm Wafer Prealigner Assembly AMAT 0190-16360 Used1Hitachi 566-5534 High Voltage Capacitor PCB Board PE.HV S-9300 SEM Used Working3AMAT Applied Materials 0100-00569 VME DC Power Distribution PCB Used Working1AMAT Applied Materials 0010-01087 Anneal Distribution PCB Panel Used Working1AMAT Applied Materials 0910-00285 Anneal Interlock PCB Card Used Working1AMAT Applied Materials 0190-00371 DeviceNet Digital I/O PCB Card Used Working1SST Woodhead AMAT-DNP-CPCI-1 DeviceNet Pro PCB Card AMAT 0190-05400 Used Working1SST Woodhead AMAT-DNP-CPCI-1 DeviceNet Pro to 2 Channel Compact PCI Interface PCB Card Used1SBS cPCI-100A-BP-S00002B IP-OCTAPLUS-232 PCB Card AMAT 0190-07848 Used Working1DIP DIP-131-483 DeviceNet CDN491 PCB Card AMAT 0660-01879 Used Working1AMAT Applied Materials 0190-14415 Minienvironment Pressure Assembly Used Working1Newport Kensington 25-4021-0015-15 Servo Positioning Controller 4000D Used1Kensington 25-4000-0003-00 Servo Positioning Controller 4000D Used Working1Nemic-Lambda HK25A-5/A Power Supply Reseller Lot of 4 Used Working1Nemic-Lambda HK50A-12/A Power Supply Reseller Lot of 4 Used Working1Cosel MMB75U-2 Power Suppy Reseller Lot of 2 Used Working2Fuji Seiki 1102210 Pneumatic Vacuum Diaphragm Angle Valve Lot of 3 Used Working1TEL Tokyo Electron HPT-106-2 Tubephragm Pump Body Unity II Used Working3Oriental Motor PK566-NACD-A2 5-Phase Stepping Motor Vexta Lot of 2 Used Working1Oriental Motor PK566BW-A7 5-Phase Stepping Motor Vexta Used Working1Alpha Wire 2171/1 Tinned Copper Tubular Braid 2172 2146 Reseller Lot of 4 Used1Lam Research 810-17012-001 Heartbeat PCB Board Rev. D Rainbow 4428b Used Working1Lam Research 810-17061-1 Connection PCB Card 4428b Rainbow Used Working1Lam Research 810-17059-1 PCB Card 4428b Rainbow Plasma Etcher Used Working1Lam Research 853-012350-002-F-2035 Outer Gate Assembly Rev. F 4428b Rainbow Used2Lam Research 853-017163-101-3 Main RF Switch Box 4428b Rainbow Used Working1TMP Shimadzu TMP-203M Turbo Molecular Pump Used Tested Working1?Fuji Electric SS202E-3ZD3 Solid State Contractor SX1-D10 Lot of 5 Used Working?1Dedicated Micros MPC-4 Multiport Switch and ADP Printer Module Set of 2 Used1GaSonics A95-205-01 Lamp Illuminator Module Rev. B A90-53-02 Aura 2000ll Used1?GaSonics A95-205-01 Lamp Illuminator Module Rev. C A90-53-02 Aura 2000LL Used?1Takenaka ASW-0021B-1 Communication Module DNS Electronics FC-3000 Used Working1Screen DS-1608-TR (B) I/O Module 16/16 DNS Electronics FC-3000 Used Working1Vero 116-010064A Power Supply PCB Card Monovolt PK-60-III CE DNS FC-3000 Used3Yamamoto EMP2SD210D Manometer Manosys EM-100 Receiver Used Working1VAT Transfer Valve MONOVAT 02112-AE24-AAJ1/0093 Working1Nikon 4K177-955-2 Power Controller 4S587-244 NSR-S204B Step and Scan Used1Yaskawa 4173-13 Servo Drive Power Supply TEL Unity II U2-855D Used Working2Ebara 305W Turbopump Controller PWM-20M working7TEL Tokyo Electron 1D81-000096-AA PCB Board TYB 211-1/GAS Unity II Used Working2TEL Tokyo Electron 1D81-000140 PCB Board TYB41D-1/TMP Used Working1?TEL Tokyo Electron EPD Box Optic Sensor Unity II U2-855DD 200mm Used Working?1Cosel MMB50U-6 Power Supply TEL tokyo Electron 9310144P Unity II Used??Unity II2Varian 104318001 Gate Valve Assembly 250 mm Ion Implant Systems VAT Used Working2ET600W Ebara ETC04 PWM-20M Turbo-Molecular Pump Controller Used Tested Working5ET600W Ebara ETC04 PWM-20M Turbo-Molecular Pump Controller Used Tested Working1ET600W Ebara ETC04 PWM-20M Turbo-Molecular Pump Controller Used Tested Working6ET600W Ebara ETC04 PWM-20M Turbo-Molecular Pump Controller Used Tested Working2ET600W Ebara ETC04 PWM-20M Turbo-Molecular Pump Controller Used Tested Working4VAT 26332-KA71-1001 Pneumatic Angle Isolation Valve Used Working2MKS Instruments 253A-11020 Exhaust Throttle Valve Used Working1Varian L6281-703 Pneumatic Angle Valve NW-40-A/D L6281703 Used Working12Edwards C31315000 Manual Isolation Valve PV25MKS ST/ST Lot of 6 Copper As-Is1Varian 1200-MSP-A1355 Pneumatic Angle Valve NW40 A/O Used Working3Varian MGXCM7008 Pneumatic Angle Valve N/W40 A/O Used Working1Varian MSP A1355 Pneumatic Angle Valve N/W40 A/O Used Working1Hitachi 568-5527 SEM-DCPS Power Supply Assembly Hitachi S-9300 SEM Used Working1Hitachi Relay Assembly S-9300 CD SEM Scanning Electron Microscope Used Working2Hitachi S-9300 Power Supply Assembly Nemic-Lambda EWS50-24 EWS15-5 Used Working2Hitachi 560-5547 AMHS PCB Board C 25606747 S-9300 CD SEM Used Working2SDS V-DSP240/SP 4-Channel Interface PCB Card SDS-9725 Hitachi S-9300 CD SEM Used2Melec C-820A KP1178-4 Communications PCB Card Hitachi S-9300 CD SEM Used Working2Hitachi 568-5589 PASW PCB Card PASUB S-9300 CD SEM Used Working2Vicon 1303-1016-51-01 Pan and Tilt Drive Head VIST35 Vistar Used Working3Vicon V33OAPT Pan and Tilt Drive Head Vistar Used Working3Edwards C5167 High Vacuum Adaptor Tee ISO63 ISO-K to Nw50 NW25 Copper Used6Edwards High Vacuum Tube Tee NW50 NW25 iQDO Series Copper Used Working5Hitachi Ion Power Supply with Backup Battery 5-9300 CD SEM Used Working1Hitachi Ion Power Supply with Backup Battery 5-9300 CD SEM Used Working1Agilent 10706A Plane Mirror Interferometer 107034 Used Working1CKD VEC-VH8G-X0305-2 Pressure Controller Valve System Used Working1CKD VEC-VH8G-X0307 Pressure Controller Valve System Used Working1CKD VEC-VH8G-X0307 Pressure Controller Valve System Used Working2Omron C200HW-PD024 power Supply unit Reseller Lof of 4 Used Working1Omron CS1W-DK001 Loop Control Unit DK001 Reseller Lot of 4 Used Working1Omron C200H-DA004 D/A Unit Analog Output Module DA004 Used Working4Omron CS1W-MD291 Input Output Unit MD291 Reseller Lot of 3 Used Working1Hitachi MMPC-03 Processor PCB Card S-9300 Used Working1Evans Components SS-12-32DHCMD12-XX-Y Dual Part Manifold Assembly New9Evans Components OBHP-316L-HT Dual Part Manifold Assembly Stainless New15Hitachi 569-5510 STSENSOR PCB Board 2 Sensor Unit S-9300 CD SEM Used Working1Hitachi 560-5510 MHVC High Voltage PCB Board S-9300 CD SEM Used Working1Varian L6281-701 Pnumatic Angle Valve NW-16-A/O L6281701 Used Working25Varian 1200-MSP-A1354 Pnumatic Angle Valve NW16 A/O Used Working4Varian 1243-L6281-301 Pneumatic Angle Valve NW16 A/O Used Working2Varian L6280-302 Manual Bellows Valve NW25-H/O Used Working3Oriental Motor PK564BW-P25 5-Phase Stepping Motor Vexta Hitachi S-9300 SEM Used2Oriental Motor PK566BW-A7 5-Phase Stepping Motor Vexta Hitachi S-9300 SEM Used2Fuji Electric SC50BAA Magnetic Contactor SC-N2S Used Working1Fuji Seiki QF100 Chain Clamp Turbomolecular Pump Used Working4Fuji Seki QF100 to IS0100 Trubomolecular??Pump Adapter Flange Used Working2Tokyo Flow Meter FF-MEB80 Fin Flo Meter Used Working1CKD USG3-X0693 Vacuum Valve Hitachi S-9300 CD SEM Used Working2SMC XLD-50-X638 Vacuum Angle Isolation Valve TEL 3D80-000326-V1 Used Working2ADTEC AXR-2000III RF Plasma Generator Novellus 27-360919-00 RF Trip Tested As-Is1ADTEC AXR-2000III RF Plasma Generator Novellus 27-360919-00 RF Trip Tested As-Is2Aera TC FC-D985CT-BH Mass Flow Controller FC-D985c 300 CCM Cl2(0.837) Used1Pelco DD08D21 Intercept Dome Camera Assembly BB08C21 DRD08A21 Used Working1Hokuyo Automatic PB9-10 Scanning Range Finder Used Working1Raychem TTB-FA Water Liquid Leak Detection System TraceTEK Used Working5Raychem TTB-IZA Water Liquid Leak Detection System TraceTEK Used Working1VAT Atmospheric Door 07512-UA24-ADA1/0039 Working1Zellwagar Analytics 1283-0013 Gas Monitor Model ES2000 Used Working1Fiber Options 110V-T/1RXX Multimode Transmitter Lot of 2 Used Working1Culligan Ultrapure 600017419 Di Si Tank Container AMAT Endura 2 Used Working1Edwards Y11501062 Pump Head Lowara 107390064-B0C01 Used Working1Edwards Y11601294 Pump Head Lowara SV206N07 Used Working4Edwards 99277 Motor and Pump Assembly Baldor 33E596W857 MSKCP71HPBOC Used1TDK FAW12-2R1 Power Supply Reseller Lot of 5 Used Working2TDK FAW12-2R1 Power Supply Reseller Lot of 5 Used Working1TDK FAW12-2R1 Power Supply Reseller Lot of 3 Used Working1TDK FAW24-6R0 Power Supply Reseller Lot of 5 Used Working2TDK FAW24-6R0 Power Supply Reseller Lot of 3 Used Working1TDK FAW24-6R0 Power Supply Reseller Lot of 3 Used Working1Edwards NGW73000 Pneumatic Gate Valve Assembly Untested As-is1Edwards NGW73000 Pneumatic Gate Valve Assembly Untested As-is1Genmark Gencobot 7S/3L Robot and Controller 980010661A1 Axcelis ES3 Used1Ultrapointe 001009T Lon Motor Driver PCB 00045 KLA-Tencor CRS-3000 Used Working1Ultrapointe 000678T Lon Motor Driver PCB 00045 KLA-Tencor CRS-3000 Used Working1GSI Lumonics X-Y Scanner Assembly CCA-10069 311-155931 KLA-Tencor CRS-3000 Used1KLA-Tencor Page Scanner Fast Z PCB Assembly Ultrapointe 000134 CRS-3000 Used1KLA-Tencor CRS-3000 Optical Assembly GSI Lumonics 000-3008528 Used Working1KLA-Tencor CRS-3000 Laser Mirror & Prism Assembly Newport P100-P Used Working1Ultrapointe 000276 Spectrometer PMT Preamp Assembly KLA-Tencor CRS-3000 Used1Nikon NSR-S204B Optical Assembly 4S005-204 4S005-206 Step and Scan System Used1Nikon NSR-S204B Transport Assembly 4S007-609 4S003-028 Step and Scan System Used1AMAT Applied Materials 0020-84586 300 Txz Slit Valve Lid Used Working1Sanyo Denki PMDPA1C3P20 PM Driver Type C AMAT 1080-01276 Used Working1AMAT Applied Materials 0130-00537 SD Stepper Interface PCB Used Working1Yasunaga YP-40VC Linear Air Pump TEL Tokyo Electron Used Tested Working1Yasunaga YP-20V Air Purge Pump TEL 2985-511354-12 Tokyo Electron ACT12 Used1Nikon NSR-S240B Lift Assembly 4S13-189 LINK-RZ Step and Repeat System Used1Nikon NSR-S204B Transport Assembly 4S007-914 RL-BCRHT Step and Repeat Used1ASML 4022-436-1609 Controller PCB Card Used Working2Philips 8122-410-01401 Special Acquisition Card MVA2000 ASML 4022-436-0294 Used1Acromag AVME9675-2 IP Carrier PCB Card ASML 4022-470-6639 Used Working1Philips 8122-410-5178.3 MIP PCB Card MVA2000 ASML 4022-436-3035 Used Working1SVG Silicon Valley Group 859-0830-005 PMT Control Board A2430 PCB Used Working1Therma-Wave 18-015549 Opti-Probe Detector Assembly Used Working1SVG Silicon Valley Group 858-8116-004 PCB Board A2835 PCB Used Working1SVG Silicon Valley Group 859-8551-002 1X Relay Interconnect Board PCB Rev A Used1SVG Silicon Valley Group 859-8551-002 1X Relay Interconnect Board PCB Rev J Used1SVG Silicon Valley Group 03-188692D01 HW INTRL PCB Board E3000 Rev. A Used1SVG Silicon Valley Group 859-0727-001 Shutter Interlock Control PCB A2501 Used1Hubbell 5125R6W Pin & Sleeve Receptacle 125A Axcelis Fusion ES3 Used Working2Lighthouse IDP inbligent Differential Pressure Controller Axcelis ES3 Used1Eaton 453282 300mm PDB Interface Board PCB Rev. C Used Working1Eaton 453282 300mm PDB Interface Board PCB Rev. E Used Working1K-Tec Technology WKE-200 Current Transber AMAT 1360-01227 Used Working1Cutler-Hammer KD3400F Circuit Breaker with Trip Circuit KT3400T Used Working4Cutler-Hammer CE15LN3 3 Pole Contractor C320KGS32 C320KGS31 C320KGS22 Used4ABB SK 828005 3 Pole Contactor EH 800 SK 828100-DB EH800 Used Working1GPI General Precision RCD-1-4-I Remote Interface Panel SVG 90S Used Working1ELPAC Power Systems BFS 200-48 Transber Capacitor Assembly Rev. D Used1Edwards NRY1P6563 High Vacuum Flexible Bellows ISO Copper Exposed Used4Edwards B65354000 Pneumatic Gate Valve GVI 100P Copper Exposed Used Working4SMC XLD-100D-X510 Pneumatic High Vacuum Angle Valve ISO100 Used Working2KLA-Tencor Pneumatic Vibration Isolator Legs Set of 4 CRS-3000 Used Working1SMC NCQ2B32-15DC Pneumatic Lift SY5120-610Z Set of 2 KLA-Tencor CRS-3000 Used1Matrox METEOR2-MC/4 Multi-Channel PCB Card 751-0201 KLA-Tencor CRS-3000 Used1Equinox 950254 Multiport Serial I/O PCB Card SST-4/8P KLA-Tencor CRS-3000 Used1Ultrapointe 001022 SDP Computer I/F PCB Card KLA-Tencor CRS-3000 Used Working1Edwards Blower1Rigg Engineering 001052 2214 SDP Video Grabber PCB KLA-Tencor CRS-3000 Used1JDS Uniphase 2214-20SLUP Laser System 2114P-20SLUP KLA-Tencor CRS-3000 Used1KLA-Tencor DC Module Power Supply CRS-3000 Confocal Review Station Used Working1Lambda EMI 00500003 Power Supply SX5025-5KV-NEG-DC-AIR-1370 Axcelis Fusion Used3Axcelis 555232 Analog Lamp Control Module Fusion ES3 CES3590 Used Working12Axcelis 560821 Lamp Control CPU Module Fusion ES3 CES3590 Used Working3Axcelis 554931 Zero Crossing Board PCB Fusion ES3 CES3590 Used Working4Adept Technology 05215-010 Robot Teach Pendant T1 Pendant 10m Used Working1B & R Automation 5PC720.150-K16 15" Industrial Panel PC Used Working2Reis Robotics PNT350-24V/6V5 Robot Power Supply Used Working3Men Mikro Elektronik 090033-00 Robot Controller Reis 3520226 Used Working4Stange Elektronik SE-600-BB Industrial PLC Controller SE-600 BB Used Working5HMS ABX-EMBS-PDPS Anybus X-Gateway module Profibus Slave AB763A-B Used Working3SIemens 6EP1436-3BA00 Power Supply SITOP power 20 Used Working5Siemens 6EP1334-3BA00 Power Supply SITOP modular 10A 1/2 ph Used Working4Siemens 6EP1333-3BA00 Power Supply SITOP modular 5A 1/2 ph Used Working2Siemens 6EP1334-2AAO1 Power Supply SITOP SMART 10A Used Working6Siemens 6SE6420-2UD21-5AA1 Micromaster 420 6SE6400-1PB00-0AA0 Used Working8Siemens 6SE6420-2UD17-5AA1 Micromaster 420 6SE6400-1PB00-0AA0 Used Working9Pilz 773100 Configurable Safety System PNOZ m1p ms2p mi1p mo1p mc3p Used Working4Pilz 773100 Configurable Safety System PNOZ m1p mo4p mi1p mo1p mc3p Used Working2Edwards U20000521 Vacuum iM Interface Module Smart TIM/AIM Used Working1Siemens 6SE6420-2UD17-5AA1 Micromaster 420 6SE6400-2FB00-6AD0 Used Working1Murr Elektronik 9000-41034-0401000 Auxiliary Circiut MICO 4.10 Lot of 3 Used4Reis Robotics 3529636 Servo Drive F300 Drive 4003 AT Used Working1Siemens CDQ325 3-Pole Circuit Breaker D/N Mount CDQ Lot of 2 Used Working3Siemens CDQ325 3-Pole Circuit Breaker DIN Mount CDQ Lot of 3 Used Working2SICK C4000 Safety Light Curtain 1018637 1018638 Set C40S-0403CA010 Used Working1Telemecanique 65032302-011 Servo Motor BSH0703P02A2A Schneider Used Working2Siemens 3ZX1012-0RT03-1AA1 Contactor Sirius Reseller Lot of 7 Used Working1Siemens 3ZX1012-0NP40-2AA1 3-Pole Switch Disconnect 3NP407 Lot of 7 Used Working1ASML 4022.470.0892 TRB Module 4022.471.9501 PCB Card 4022.471.4479 Used Working1ASML 4022.436.7211 RSB Module SENS TOP.BOX AS 4022,436.7155 Used Working1NKS ESA-Y3008T25-21 Servo Drive ASML 4022.470.0884 TRMB Module Used Working1KV Automation 4022.480.62992 Pneumatic Assembly ASML 4022.480.62681 Used Working2Siemens 6SE6420-2UD17-5?AA1 Micromaster 420 6SE6400-1PB00-0?AA0 Used Working1Verity 1000805 Monochromator Detector EP200Mmd Axcelis 572961 Fusion ES3 Used2Verity 1000805 Monochromator Detector EP200Mmd Axcelis 485211 Fusion ES3 Used1Verity 1000805 Monochromator Detector EP200Mmd Axcelis Fusion ES3 Used Working1ASML 4022.480.2578 Wafer Inspection Assembly AIP-230019-S 4022.480.2773 Used1AC Motoren JM 132 M-4 Pump Motor Vacuum Assembly JM132M-4 Used Tested Working1Varian 919-05705001 Ion Pump Diode S-9300 CD Scanning Electron Microscope Used2B&R Automation 5PC720.1505-K16 15" Industrial Panel PC Tested Not Working As-Is1Kensington 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 Used Working1Axcelis 572911 Pneumatic and DeviceNet Module 300mm Fusion ES3 CES3590 Used3Excelis 552771 Plasma GEN INT PCB RF Detector RF-2 Assembly Fusion ES3 Used4SMC NSR4000 Manual Pneumatic Clean Air Regulator Used Working1MKS Instruments 41A12DCA2BA060 Baratron Pressure Switch Lot of 2 Used Working1MAG 1000 CT Leybold 86004 Turbomolecular Pump Used Untested As-Is1ADTEC AX-2000III RF Plasma Generator 27-307431-00 Used Tested Working1Fisher Controls TS112 ac2 Field Test Unit Used Tested Working1SMC NSR4000-N04 Manual Pneumatic Air Regulator used Working3GE THC2020TTH22 Circuit breaker MicroVersaTrip Plus TT20LSHGZ2 Used Working1Daifuku PST-3635A Link Terminal B7A-T6D7-D PCB Assembly Used Working1Edwards Helios Head Assembly Combustion Chamber Used Working3Ametek TM-II-Control Thermox Oxygen Analyzer Monitor 80394 SE Used Working1MDC Vacuum Products 311081 Pneumatic Angle Valve HV Series LAV-600-PAA Used1Air Products 809-470467812A Gasguard VMB Process Header Assembly PCR/HDR New2AE Advanced Energy 27-256558-00 RF Match Mercury 10013 3150273-004 Copper Used1AE Advanced Energy 27-256558-00 RF Match Mercury 10013 3150273-004 Used Working1Novellus 03-320080-00 Backplane PCB Board Used Working1Ametek Series 2000 Thermox Oxygen Monitor 80457SE Used Working1RKC Instruments RCB-12 PS Temperature Controller TEL 3D80-000090-V6 Used Working1Sun Microsystems AM861-00002-1 Memory Module PCB Card MH32V72DATJ-6 Used Working1Sun Microsystem AM860-00002 Enterprise Clock PCB Card 501-5365-03 Used Working1Sun Microsystem 501-4820-02 Fibre Channel Module PCB Card 23L3336 Used Working1Sun Microsystem SSC 130-2924-01 I/O Module PCB Card 501-4883-07 Used Working1Sun Microsystems 130-2924-01 I/O Module PCB Card 525-1746-06 Used Working1A-B Allen-Bradley 96080677 PLC-5/30 Programmable Controller Card 1785-L30B Used1Festo 0010-05311 Megasonic Box 300mm Assembly 0190-77532 Reflexion Used1Festo 0010-05311 Megasonic Box 300mm Assembly 0190-77532 Reflexion Used4Yaskawa SGDR-SDCCA01 Robot Controller PCB Card F352085-1 NXC100 Used Working4Yaskawa SGDR-SDCCA02 Robot Controller PCB Card F352085-1 NXC100 Used Working4Yaskawa JANCD-NSP30B Battery Backup PCB Board F351911-1 NXC100 Used Working4Yaskawa JANCD-NCU30B Connector PCB Board F351927-1 NXC100 Used Working1Yaskawa SGDR-AXC01B Robot Controller PCB Card F352076-1 NXC100 Used Working1Yaskawa JANCD-NIO30B-1 Robot Controller PCB Card F352063-1 NXC100 Used Working3Yaskawa SGDR-COBCB030GAB Power Supply PCB Card F352100-1 NXC100 Used Working4Yaskawa SGDR-COBCA01AB Robot Controller PCB Board F352102-1 NXC100 Used Working4Yaskawa JANCD-NTU30B Robot Controller PCB Board F352065-1 NXC100 Used Working1Yaskawa JANCD-NTU30B Robot Controller PCB Board F352065-1 NXC100 Used Working1Yaskawa JANCD-NBB30B Backplane PCB Board F352078-1 NXC100 Used Working2Parker GV-U6E-310 GV Gemini Servo Compumotor Used Working1Toshiba FUI01-A Remote Input PCB Module FUI02-A N5016162 Used Working1Toshiba ATG3-CFLR01-EBT Compact Flash Card Module HS881001A Used Working1SMC VV5Q11-ULB980022 6-Port Pneumatic Manifold Axcelis Fusion ES3 Used Working1Kaijo 68101 Ultrasonic Generator HI MEGASONIC 600 Used Working2TEL Tokyo Electron HTE-MC1-B-12 CONN HPI #01 Board TMB2001 PCB Lithius Used1Hitachi UO1200PMCX-DS1CEI(SL) Ultrasonic Generator Mikro Sonic Used Tested1EPA NF-K-16 Three Phase Compact Filter Reseller Lof of 3 Used Working1Celerity FC-2929MEP5X-WM Mass Flow Controller TN2979 50 SCCM O2 Used Working2Bently Nevada 90120-0252 Analog Display Module Series 9000 Used Working1Compact Automation 60-30874200 Pneumatic Rectangle Linear Cylinder Used Working1Compact Automation 60-30872-00 Pneumatic Rectangle Linear Cylinder Used Working1TPS 601 Pfeiffer PM 041 821 AT Turbomolecular Pump Power Supply Used Working1Siemens 3RV1021-0JA10 Curcuit Breaker Rack Assembly 3RT1016-1BB42 Lot of 5 Used3Siemens 3RV1021-0JA10 Curcuit Breaker Rack Assembly 3RT1016-1BB42 Lot of 2 Used1Siemens 3RV1021-1CA10 Circuit Breaker Rack Assembly 3RT1016-1BB42 Lot of 6 Used1Siemens 3RV1021-0FA10 Curcuit Breaker Rack Assembly 3RT1016-1BB41 Lot of 4 Used1Siemens 3RV1021-1BA10 Curcuit Breaker Rack Assembly 3RT1016-1BB41 Lot of 3 Used1Siemens 3RV1031-4FA10 Circuit Breaker SIRIUS Rack Assembly Lot of 2 Used1AMAT Applied Materials 0040-06361 ISM SWLL 300mm Bellows Assembly Used1A-B Allen-Bradley 1770-KF2 Data Highway Communication Interface Used Working1AMAT Applied Materials 0190-76273 Power Supply P118-208/208 Used Working1Heathkit EU-30A Heath Decade Resistance Module Used Working1Cognex 200-0075-4 Vision Image Board 203-0075-RE PCB Card Used Working1Inficon 927-201-G1 Sion RF Detector HS Used Working2Inficon 362-003 Vacuum Capacitance Diaphragm Gauge CDG025 SKY CDG Used Working1MKS Instruments 109070010CE Analog Convectron Transducer Series 907 Used Working1Olumpus BH3-5NRE-M Microscope Optics Assembly KLA-Tencor CRS-3000 Used Working1Newport 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 Used Working1Newport 35-3700-1425-18 Wafer Transfer Robot AMAT 0190-22248 Used Working1TEL Tokyo Electron F12-Non Cu-026 Wafer Rinse Wash Cup Assembly Used Working1Edwards NGW414000 Pneumatic Gate Valve Assembly Copper Damaged Connector As-Is2MKS Instruments 253B-13264 Exhaust Throttle Valve JSP027-93 Copper Used2Ametek 80439SE Thermox Backplane Assembly PCB Board Rev. E Used Working2Ametek 80440SE Sensor and Display Module PCB Card Thermox Series 2000 Used2CKD N4E0 Series 4-Port Pneumatic Manifold N4E020-M Lot of 2 TEL Lithius Used6CKD N4E0 Series 5-Port Pneumatic Manifold N4E020-M Lot of 2 TEL Lithius Used3Edwards NGW073000 Pneumatic Gate Valve Copper Damaged Connector As-Is2VAT B90002011 Pneumatic Gate Valve BGV LOTO Copper Exposed Damaged Valve As-Is2VAT B90002011 Pneumatic Gate Valve BGV LOTO Copper Exposed Damaged Valve As-Is2Vero 116-010069H Power Supply PCB Card MONOVOLT PK120 5V/20A Used Working1APW Power Supplies 116-010022A Power Supply PCB Card BIVOLT PK60A Used Working2ASM 03-188871D01 DC Interlock III CE PCB Card Used Working1Vero 116-010065J Power Supply PCB Card MONOVOLT PK60-III CE Used Working10Vero 116-010074H Power Supply PCB Card MONOVOLT PK60-111 CE/PF Used Working5VAT 09034-KE44-AB01 Pneumatic Gate Valve BGV Loto Edwards B90002011 Opened New1VAT 09034-KE44-AB01 Pneumatic Gate Valve BGV Loto Edwards B90002011 New2VAT 09038-KE44-AB01 Pneumatic Gate Valve BGV LOTO Edwards B90002031 Opened New1VAT 09038-KE44-AB01 Pneumatic Gate Valve BGV LOTO Edwards B90002031 New1TEL Tokyo Electron Photo Resist Reservoir Tank Sunx EM-071T Lithius Used Working98VAT B90002031 Pneumatic Gate Valve BGV LOTO Copper Damaged Connector Used As-Is2MDC 311032 Pneumatic Angle Valve AV-153-P AMAT 0040-13040 Used Working1SMC NAQ3000-NO3 Pneumatic Quick Exhaust Valve NAQA61 Resellser Lot of 20 New5Nikon Z-X4P/12-A0702C Interface Unit NSR Series Used Working1AMAT Applied Materials 326426R06-PJ Mainframe Monitor 0240-15601 0242-25191 New1Nikon NSR Robot Controller1TPS 601 Pfeiffer PM 041 821 AT Turbomolecular Pump Power Supply Tested Working1Ametek 80457SE Wiring Interface PCB Board Rev. E Used Woking1Ametek 80438SE Key Pad Power Supply PCB Card 80430KE Used Working1Trace Analytical RGA3 Reduction Gas Analyzer H2 CO Used Working1Nikon 4S013-416-RBTMLNK2 Robot Stage 4S013-417-RBTLNK2 NSR Series Used Working1Meiden RZ24Z-02 Processor PCB Card MU24A30823 SU22A31270B Used Working1Meiden 2N65Z Backplane PCB Board MU24A31078 SU22A31792 B Used Working1Donaldson P199595REFILL Lithoguard BSM Max Filter Refill Cleanroom New3Mitsubishi MR-J2S-40A AC Servo Amplifier MELSERVO Drive Used Working1Mitsubishi MR-J2S-20A AC Servo Amplifier MELSERVO Drive Used Working6Mitsubishi MR-J2S-10A AC Servo Amplifier MELSERVO Drive Used Working2AE Advanced Energy 27-256558-00 RF Match Mercury 10013 3150273-004 Used Working1Omron E5ZT-N08TC01-2 Temperature Controller Assembly Used Working1AMAT Applied Materials 0010-76097 Stand Alone VGA Monitor Base Used Working1AMAT Applied Materials 00140-13445 Stand Alone VGA Monitor Base P5000 Used1AE Advanced Energy 27-256558-00 RF MAtch Mercury 10013 3150273-004 Damaged As-Is1AE Advanced Energy 1303166 D E-Chuck Conrtrol 2303126-B RF Match PCB Board Used1AE Advanced Energy PLE 1305832 E AZX Control Interrupt 2305744-B PCB Board Used1Tektronix CFC250 100 MHz Frequency Counter Used Working1Watlow 208-C10000CB Anafaze Tempurature Controller CLS208 Used Working1Yaskawa SGMPH-08A1A2C AC Servo Motor Shimpo Gearhead VRFS-PB-S9D-750-YF Use1TPS 200 Pfeiffer Vacuum PM 041 819-T Turbomolecular Pump Controller Used Working1Mitsubishi AJ65VBTCU-68ADIN A/D Converter Unit MELSEC CC-Link V2 Used Working1Brooks Automation 002-7326-07 ATR7 Robot Drive Arm Copper Exposed Used Working1Aera FC-7810CD Mass Flow Controller 20 SLM NH3 Novellus 22-252794-00 Refurbished11Celerity FC-2979MEP5-WM Mass Flow Controller 200 SCCM NF3 TN2979 New1Celerity FC-2979MEP5-WM Mass Flow Controller 200 SCCM NF3 TN2979 Used Working2Aera FC-D985CT-BH Mass Flow Controller MFC FC-D985C 30 CCM O2 Used Working1Aera TC FC-D985CT-BH Mass Flow Controller FC-D985C 400 CCM CF4(0.419) Used1SMC SS0750-06X56-5-Port Pneumatic Manifold SI Unit IN503-10 S07A0-5 New1NSK LH20 Ball Slide 538mm Linear Guide Novellus 61-347090-00 New4Kokusai 3DOT011913 Rotation Shaft For Wafer Detection Assembly New1Verity Instruments 1007444 PCB Control Module SDC2006 Novellus 27-355491-00 Used1Nikon 4S018-650 NSR Series PCB Used Working1Edwards D37310100 EGM NIM Module PCB Assembly D37232212 Used Working1Edwards D37215252 NIM Pump Net PCB Assembly 801-1047-01 55010-10 Used Working2Nikon NSR Series IU-X2A PCB 4S007-900-1K1Alcab 1004AUM Mechanical Vacuum Forepump 1004A for Parts or Repair As-Is1Tylan General CDL-21S06 Baratron Capacitance Manometer CDL2106 Used Working1Cosel UAF500W-24 Power Supply 500 Watt F500-24 Used Working2Square D MHL368001212 3-Pole Circuit Breaker MH 800 A Used Working1TPU 330 Pfeiffer Balzers PM P01 431 H175 Turbomolecular Pump Untested As-Is1Koganei R300M6AS3-306W Manual Regulator 6-Port Manifold MR303-02-306W Used1Fujikin FPR-91-19.05 Pneumatic Actuator Bellows Valve New Surplus1AMAT Applied Materials 0021-23572 Chamber Plug 633006548-F Copper Exposed Used1Lam Research M0003633 Extrima 3100 PC Mounting Bracket Kit New Surplus1Nikon NSR Series RL-LIBHT2 PCB 4S018-679 working3MKS Instruments 123714-G1 GBROR InSitu Flow Verifier GBR1B24CR1 Used Working3MKS Instruments 123714-G1 GBROR InSitu Flow Verifier Used Working1MKS Instruments 123714-G1 GBROR InSitu Flow Verifier CBRORS-3-20 Used Working2Cosel R150U-24 24V Power Supply Reseller Lot of 6 Used Working1Granite Microsystems ZNUGR-22375 Compact Computer ASM Epsilon 3000 Used Working4Foxboro K0143AAAN PCB Board K0143AC-F ASM Epsilon 3000 Used Working3Nikon 4S007-953-A NSR Series PCB WL3MOT5 Used Working4Foxboro L0117AJ F Micro Controller Panel L0117AN Epsilon 3000 Used Working4Nikon NSR Series Linear Pulsemotor Cont. PCB 4S014-160A4Nikon 4S018-567-A NSR Series PCB WLS3EN4 Used Working4Vero 116-0100684D Power Supply PCB Card MONOVOLT PK120 24V/5A Used Working2Vero 116-0100684D Power Supply PCB Card MONOVOLT PK120 24V/5A Used Working1Vero 116-010022A Power Supply PCB Card BIVOLT PK60 A Used Working1MKS Instruments 153D-20-40-2 Smart Exhaust Valve Type 153 Used Working12Magmotor 500230005 Servo Motor 23EP007-LL-1085-1515 ASM Epsilon 3000 Used1Nikon NSR Series WL3MTR-H6 PCB 4S018-531-12MKS Instruments 627B-15968 Baratron Capacitance Transducer Used Working1MKS Instruments 622A12TBE Baratron Pressure Transducer 100 Torr Used Working12Kepner Products Pilot/Gauge Manifold ASM Epsilon 3000 Wafer Transfer Module Used3NTI Network Technologies ST-4U-TS-NBS-12V KVM Switch NODEMUX Used Working6ASM 03-186004D02 Options I/F 03-329735D02 PCB Board Epsilon 3000 Used Working2ASM 03-185614-02 Gate Valve WHS Sensor I/F Board PCB Rev. D Used Working4ASM 03-185614-02 WHS Sensor I/F 16-186980D01 Rev. D PCB Board Epsilon 3000 Used2ASM 03-187154D01 HW Interlock Gate-Valve PCB Board Epsilon 3000 Used Working5Nikon NSR Series ALGAF-P/D-X4+ PCB 4S008-115-A2Zellweger Sieger 00705-A-1733 Gas Detector 00780-A-0076 ASM Epsilon 3000 Used6Tescom 44-3262JR91-145 Manual Pressure Regulator Valve 44-3200 Used Working5Tescom 449-265-0RR9 Manual 3-Way Pressure Regulator Valve Used Working6ASM 03-320142D01 EV Interface Pneumatic Block PCB Assembly ASM Epsilon 3000 Used1ASM 03-320142D03 EV Interface Pneumatic Block PCB Assembly ASM Epsilon 3000 Used2ASM 03-320142D03 EV Interface Pneumatic Block PCB Assembly ASM Epsilon 3000 Used1Horiba STEC SEC-4600M Mass Flow Controller SEC-4600 50 SLM H2 Used Working5STEC SEC-4500MO Mass Flow Controller SEC-4500M 10 SLM He Used Working4STEC SEC-4500M Mass Flow Controller SEC-4500 10 SLM H2 Used Working10Schaffner FN258-30-33 RFI Power Line Filter Lot of 4 ASM Epsilon 3000 Used1AE Advanced Energy 5252269-D MDX Output Filter PCB Board 2302269-D Used Working1AE Advanced Energy 5252259B PCB Board 2302259-B Used Working1AE Advanced Energy 5252141-B MDX Process PCB Board 2302141-D Used Working1AE Advanced Energy 2801071 Diode Bridge PCB Board 2302324-A Used Working1AE Advanced Energy 5252201 Fuse Relay PCB Board 2302201-C Used Working1Nikon 4S008-049-D Interface Board PCB alg-Z8277 Used Working1AE Advanced Energy 2302118-E MDX Universal Drive PCB Board 2801041D Used Working1MDX AE Advanced Energy 3152194-022 Magnetron Drive 5kW Tested Not Working As-Is1MDX AE Advanced Energy 2194-022-H Magnetron Drive 5kW Tested Not Working As-Is1VAT 02112-AE24-AAJ1 Rectangular Slit Valve MONOVAT ASM Epsilon 3000 Used6Nikon NSR Series AVIS-I/F2 PCB 4S007-787-11Nor-Cal AIV-1002-NWB Pneumatic Isolation Angle Valve Used Working12Qualiflow 03M04 F HF Series On Off Valve Lot of 4 ASM Epsilon 3000 Used Working1SMC NAR2000-N01 Pneumatic Regulator with Gauge Lot of 6 ASM Epsilon 3000 Used1AE Advanced Energy 5252264 C MDK Control PCB Board 2302264 D Used Working1CKD VEC-SHA8G-X0303 Pressure Control Valve System VEC-VH8G-X0305-2 Used Working1Nikon NSR Series LC-PRES2B PCB 4S080-6271Matrox GPRO/F/64/F/64 Gen/Pro Processor Board 721-02 PCB Card Used Working1TEL Tokyo Electron 3281-000134-11 IFA1006K508A PCB-FL-BASE PCB P-8 Used Working1TEL Tokyo Electron 3281-000089-11 PCB Bridge Interconnect 2 3208-000089-11 Used1TEL Tokyo Electron 3281-000138-13 PCB-CTRL FA1006K501A PCB P-8 Used Working1Sanyo PMM8714PT Stepping Motor Driver PCB TEL Tokyo Electron P-8 Used Working2TEL Tokyo Electron 3281-000013-19 Hard Drive PCB Card TVB0004-1/147CON P-8 Used1KLA Instruments 6001755-03 DP Video PCB Card TEL 3281-000051-11 P-8 Used Working1Motorola MVME 147-023 Single Board Computer PCB Card TEL 3286-000516-12 P-8 Used1TEL Tokyo Electron 2985-410519-W1 12 Inch Pincette PRA 300mm ACT12-300 Used2Nikon X2A-STGA/D NSR Series PCB 4S007-902-1 Used Working1Cognex 200-1019 Visioncard VME PCB Card TEL 3281-000124-1 P-8 Used Working1TEL Tokyo Electron 3281-001184-17 PCB Card TVB6004-1/QMC3 P-8 Used Working1Hitachi HT94301A PS Card PCB Card S-9300 CD Scanning Electron Microscope Used1CTI-Cryogenics 0190-07384 Network Terminal 1/2 PNL On-Board Used Working1Nikon NSR Series X4-AIS PCB 4S008-052-C A-5541AMAT Applied Materials SEMVision EPDU External Power Distribution Unit Used1IGC Polycold Systems 264594C Cryogenic Adsorber Used Working1VAT F03-100134 Pneumatic Slit Valve Used Working1Tescom 44-2662-R92-067 Manual Pressure Regulator Lot of 4 Used10Precise Sensors 3441-0006501C6WSE02L0002 Pressure Gauge 4861-65-GA-RM Used52Qualiflow 2x10-9atm.cm3/Sec 3-Way Pneumatic Valve Reseller Lot of 3 Used Working10Qualiflow 2x10-9atm.cm3/Sec 3-Way Pneumatic Valve Reseller Lot of 2 Used Working5Sumitomo Electric Industries 4S587-245-2 ADE1 H Control Unit Used Working2Qualiflow 2x10-9atm.cm3/Sec 3-Way Pneumatic Valve Reseller Lot of 5 Used Working1Qualiflow 2x10-9atm.cm3/Sec 4-Way Pneumatic Valve Reseller Lot of 4 Used Working7Qualiflow 2x10-9atm.cm3/Sec 4-Way Pneumatic Valve Reseller Used Working2Qualiflow 2x10-9atm.cm3/Sec 4-Way Pneumatic Valve Reseller Male Used Working4Qualiflow 2x10-9atm.cm3/Sec 2-Way Pneumatic Valve Reseller Used Working13Qualiflow 2x10-9atm.cm3/Sec 2-Way Pneumatic Valve Reseller Lot of 3 Used Working17Qualiflow F HF Series 2-Way Pneumatic Valve 2x10-9atm.cm3/Sec Used Working5Qualiflow F HF Series 2-Way Pneumatic Valve 2x10-9atm.cm3/Sec Used Working53Qualiflow F HF Series 2-Way Pneumatic Angle Valve 2x10-9atm.cm3/Sec Used Working2Qualiflow F HF Series 4-Way Pneumatic Valve 2x10-9atm.cm3/Sec Used Working7Qualiflow F HF Series 3-Way Pneumatic Valve 2x10-9atm.cm3/Sec Lot of 3 Used5Schlumberger Technologies 40151223 SSC PCB Board 97151223 Used Working2Schlumberger Technologies 799000302 STDCITF2 PCB Card 499000302 Used Working1Schlumberger Technologies 799000320 STPWMHC Rev. 5 PCB Card 499000320 Used4Schlumberger Technologies 799000310 STLCDPS PCB Card 499000310 Used Working2Qualiflow 2x10-9atm.cm3/Sec 2-Way Pneumatic Valve Reseller Lot of 2 Used Working5Qualiflow 2 Way Pneumatic Valve Lot of 46Schlumberger Technologies 799050101 STPS PCB Card 499000101 Used Working10Schlumberger Technologies 97151214 SCM_ST PCB Card 40151214 Used Working1Qualiflow F HF??4 Way Series Valve Lot of 31Qualiflow F HF Series Pneumatic Angle Valve Lot of 31Tescom 44-3262JR91-082 Manual Pressure Regulator Lot of 2 Used3Tescom 44-2360-A4-016 Manual Pressure Regulator Mykrolis 60 PSI Gauge Used1Schlumberger Technologies 799000110 STADM ADM_ST PCB Card 499000110 Used Working1Schlumberger Technologies 97151212 SCP_ST PCB Card 40151212 Used Working2Yaskawa XU-DL1910 Controller Nikon 4S587-288 Used Working1Yaskawa XU-DL1910 Controller Nikon 4S587-288 Used Working1Schlumberger Technologies 97151217 APG_ST PCB Card 40151217 Used Working1Schlumberger Technologies 799000120 STSOM PCB Card 499000120 Used Working5Schlumberger Technologies 97151211 CNB CNB_ST PCB Card 40151211 Used Working2Schlumberger Technologies 799000302 STDCITF2 Rev. 4 PCB Card 499000302 Used1Schlumberger Technologies 799000320 STPWMHC Rev. 4 PCB Card 499000320 Used1Schlumberger Technologies 97151217 APG_ST PCB Card Rev. 1 40151217 Used Working1Schlumberger Technologies 27151215 STADM ADM_ST PCB Card 96151215 Used Working1Schlumberger Technologies 97151214 SCM_ST Rev. 4 PCB Card 40151214 Used Working1Schlumberger Technologies 799000320 STPWMHC Rev. O5 PCB Card 499000320 Used1Nikon 027H0153 MAC & LS Controller W/ Sub EP & LS IOP Used Working1Schlumberger Technologies 799000120 STSOM Rev. 2 PCB Card 499000120 Used Working1Screen SL-2250-LC Network Communication Module Used Working2Omron G3PA-210B-VD Solid State Relay G3PA-220B-VD Reseller Lot of 7 Used Working1RKC Instruments H-PCP-A-34N-M*AB FAREX SR Mini HG System Used Working1Power-One RPM5B8B8A1A1BJCS675 Power Supply Schlumberger 97171047 Used Working1Power-One RPM5A4A4C1CS676 Power Supply Schlumberger 97171048 Used Working2Power-One RPM5C4C4D4LCS677 Power Supply Schlumberger 97171049 Used Working2Power-One RPM5C5C5C5C5C5C5CS652 Power Supply Schlumberger 97172020 Used Working3Power-One RPM5CSCSKCS674 Power Supply Schlumberger 97171046 Used Working2Power-One RPM5H4H4KCS673 Power Supply 4000W Schlumberger 97171045 Used Working1Power-One RPM5FPFPA1A1BJCS704 Power Supply Schlumberger 97171047 Used Working1Power-One RPM5GEDEC1CS669 Power Supply 2500W Schlumberger 97171028 Used Working1Power-One RPM5H4H4KCS673 Power Supply 2500W Schlumberger 97171045 Used Working1Power-One RPM5C5C5C5C5C5CS652 Power Supply Schlumberger 97172020 Used Working1Baldor M36F971-0344G1 Industrial Motor Burks 350GA7-1-1-4ME5.44SP Used Working1Baldor 36F971-0344G3 Industrial Motor Burks 350GA7-1-1-4ME5.44SP Used Working1Whitman Controls P117G-10H-C12L Pneumatic Valve Lot of 4 Used Working1Whitman Controls P117G-10H-C12L Pneumatic Valve Lot of 4 Used Working1Swagelok SS-DLV51-VCR4 High Pressure Valve Lot of 3 Epsilon 3000 Used Working15MKS Instruments GBR1B24CR1 Baratron Assembly Epsilon 3000 Used Working5SMC US5203 13-Port Pneumatic Manifold ARM2000 ISE40-01-22 Used Working5SMC US5200 13-Port Pneumatic Manifold ARM2000 ISE40-01-22 Used Working5Cambridge AccuSense 300T-BB1-N-B1 Airflow Switch Epsilon 3000 Used Working8Nor-Cal ESVP-1002-NWB Pneumatic Isolation Angle Vacuum Valve Used Working6Nor-Cal Products ESVP-1002-NWB-SA Pneumatic Isolation Valve Used Working6Turck FCS-K20-AP8X Air Flow Monitor 02-188729B02 Epsilon 3000 Used Working1Qualiflow F HF Series 2-Way Pneumatic Valve 2x10-9atm.cm3/Sec Male Used Working8Edwards C41624000 Vacuum Isolation Valve SIPV25PKA Used Working12Omron E3L-2DC4 Photoelectric Switch Reseller Lot of 4 Used Working12Omron E3L-2LRC4 Photoelectric Switch Reseller Lot of 4 Used Working12Brooks Instrument 1355EHA7C4A1M Flow Meter SHO-RATE Used Working6ASM Vacuum Cylinder Assembly Stainless Steel Epsilon 3000 Wafer Used Working6HPS Vacuum Products NW25 Wing Nut Clamp KF25 Lot of 25 MKS Edwards Nor-Cal Used4Yaskawa 4S587-668 Linear Motor Controller CLSR-0420-N2CD Used Working1Power-One RPM5GEDEC1CS669 Power Supply 4000W Schlumberger 97171028 Used Working1HPS Vacuum Products NW40 Wing Nut Clamp KF40 Lot of 25 MKS Edwards Nor-Cal Used1Qualiflow 2x10-9atm.cm3/Sec Pneumatic Valve OCi 7.5 kPa Gauge Used Working9Matsushita Electric AIGT3100B 5.7" NAiS Programmable Display GT30 Used Working1Mitsubishi PLC Programmable Controller FX0N-24MR-ES Used Working1Mitsubishi FXON-3A I/O Block FXON-24MR-ES Used Working2Power-One MAP110-4200C Power Supplies Lot of Two1Matsushita AIGT3100B NAiS Programmable PLC System FP0-C14 FP0-E16 FP0-A21 Used2ABB CP-24/1.0 Switching Power Supply 1SVR423418R0000 Reseller Lot of 3 Used1CTI-Cryogenics 8185041G001R On-Board IS-8F Cryopump 18650 Used Tested Working1MKS Instruments CT27A13TDC910 Digital Baratron CommunicaTorr Used Tested Working2MKS Instruments CT27A11TDC910 Digital Baratron CommunicaTorr Used Tested Working1MKS CV7627A-05 Vacuum Isolation System 627A.1TAD-----S 750B Tested Used Working15MKS CV7627A-05 Vacuum Isolation System 627A.1TAD-----S 750B Tested Used Working1Tylan General CMLA-21 Baratron Gauge Lam 853-017643-003-D Used Tested Working1Panasonic 581B334B Backplane Board PCB TEL Tokyo Electron ACT8 Used Working1MKS Instruments 627A.1TAD-----S Baratron Transducer Used Tested Working1Kensington Laboratories 4000-6010-02 SBC Single Board Computer PCB Card Used2Yaskawa JAMMC-SRC01I Automation Control PCB Card JAMMC-SRC02 Used Working1Yaskawa JAMMC-SRC04I DT-DV Circuit Protector PCB Board DF9300878-A0 Used Working1Yaskawa CACR-HR1-00DAB Motion Control PCB Board DF9300862-C0 Used Working3Yaskawa CPSR-A5FE Power Control PCB Board DF9300864-C0 Used Working3KLA 710-805351-00 Interface Board PCB BPB IIb 073-805351-00 TEL P-8 Prober Used1Hitachi S8PS-05012CD Power Supply 12V 4.2A S-9300 SEM Used Working2TEL Tokyo Electron 3281-000010-1 PCB Bridge Interconnect 1 with Cables P-8 Used1POLARA-260A ENI POLARA-260-01 Bipolar Pulsed Supply Rev. B AMAT 0190-01428 As-Is1ASM 03-188870D01 DC Interlock III CE PCB Card ASM 16-188871D01 Epsilon 3000 Used1Vero 116-010081B Power Supply PCB Card MONOVOLT PK120 5V/20A Used Working1PPC Pacific Power Control Power Line Conditioner KLA-Tencor 750-653120-00C0 Used1AMAT Applied Materials 0100-00985 HP Gas Interlock PCB Card XR80 Used Working1Oriental Motors B1583-D51KBLM AC Servo Motor Gear Head 5GD20K-H1 Lot of 2 As-Is1Daifuku VME-5620D Processor VMEbus PCB Card MEM-5692A Used Working2Daifuku OPC-5600A SBC Single Board Computer VMEbus PCB Card MEM-5691B Used2SRC SVB-05VME VME Systembus Backplane Board PCB Used Working2Cosel P150E-5-N Power Supply 5V 30A Used Working2Cosel MMB50A-5 AC-DC Power Supply +12V 3.0A 1.5A Reseller Lot of 2 Used Working1Black Box 724-746-5500 Media Converter & Transceiver1Electronic Processors 207-0020-01 Data Cassette Recorder STR-LNK IIA Used1VAT 07512-UA24-0001 Pneumatic Atmospheric Door Actuator Slit Valve Used Working1VAT 07512-UA24-0001 Pneumatic Atmospheric Door Actuator Slit Valve Copper Used2ASM Advanced Semiconductor Materials 91310-68038 Slit Valve Seal Kit New3VAT 65040-PA52-ALV1 Heated Pendulum Gate Valve Copper Exposed Untested As-Is2E'Wave 3152603-015 AE Advanced Energy 3 Channel RF Generator Refurbished1E'Wave 27-282098-00 AE Advanced Energy 3152603-015 RF Generator Refurbished1Yaskawa ERCR-ND11-A000 Robot Controller Assembly SGDH-08AE-SY705 Used Working1TW 250 S Oerlikon Leybold 800150V0009 Turbomolecular Vacuum Pump TW-250 Used1TW 250 S Leybold 11352 Turbomolecular Vacuum Pump Locked Rotor Untested As-Is1ATP Alcab ATP 100 Turbomolecular Vacuum Pump ISO100 Used Working1CTI-Cryogenics 0190-27355 On-Board P300 Cryopump with 8113192G001 Used Working1Yaskawa SGDR-SDCCA04 Robot Controller PCB Card F352085-1 NXC100 Used Working1Yaskawa SGDR-AXC01B Automation Processor PCB Card F352076-1 NXC100 Used Working2Yaskawa SGDR-AXD01B Automation Processor PCB Card F351914-1 NXC100 Used Working1Yaskawa JANCD-NTU30B Robot Controller PCB Card F352065-1 NXC100 Used Working1Yaskawa JANCD-NIF30B-1 Robot Controller PCB Card F352061-1 NXC100 Used Working1Yaskawa JANCD-NCU31B Robot Controller PCB Card F351916-1 NXC100 Used Working1Yaskawa JANCD-NBB31B Backplane PCB Board F352077-1 NXC100 Used Working1Brooks Automation 002-7090-08 Wafer Handling Robot AcuTran ATR-7 No Arm Used1Hitachi 20-05 High Voltage Control Unit S-9300 SEM Used Working1Brooks Automation 151865 Wafer Handling Robot Reliance ATR8 Refurbished1VWR SB90M5 Benchtop Multibeter Research Meter sympHony 11388-342 New Surplus1ABB TB82PH1010300 2-Wire pH/ORP/pION Monitor Transmitter TB82 New Surplus1Nitta PNP-08AA90566 Pneumatic Manifold Tank PNP-F100A-AL3C-T New Surplus1AE Advanced Energy 27-368450-00 B RF Navigator 10013 3155162-037 Novellus Used3AE Advanced Energy 27-256558-00 RF Mercury 10013 Match 3150273-004 B Used1Lambda K60134 Multiple Output Power Supply Vega 650 Used Working2Kensington Laboratories 77-4000-6076-00 6-Axis Motherboard PCB Card Used Working1Komatsu Electronics 20016470 AIC-7 Temperature Controller AIC-7-12-UC-D As-Is1TPS 301 Pfeiffer PM 041 820 AT Turbomolecular Pump Power Supply TPS301 As-Is2Inficon 911-1032-G2 TSP2 Preamp Board PCB 911-1032 Used Working1Daihen RGA-10D-V RF Power Generator TEL 3D80-000826-V4 Used Tested Working1Calibron Systems 1AA0 Density Meter with Spud 60 Density Transmitter New Surplus1iGX6/100m Edwards NRB246458 Dry Vacuum Pump IGX 6/100 M Factory Refurbished1iGX6/100m Edwards NRB246458 Dry Vacuum Pump IGX 6/100 M Factory Refurbished1S&C Electric DA810001DG01 IGBT Gate Resistor Board PCB DA810006DG01 Used Working3S&C Electric 005-126-3 Power Supply Control Board PCB 004-126-3 Used Working1S&C Electric 4126-7 Power Supply Control Board PCB 005-126-3R1 4114-3 Used1S&C Electric 005-109-9 Purewave Gate Driver Board PCB 004-109-10A Used Working3Hitachi 569-5517 EVCONT3 PCB S-9300 Scanning Electron Mircoscope Used Working3S&C Electric 4158-13 IGBT Gate Driver Interface PCB 005-156-12 Used Working3S&C Electric 005-90-12 Analog Interface Board PCB 004-90-14 Used Working1S&C Electric 005-111-4 Fiber Optic Board PCB 004-111 Used Working1S&C Electric 4145-9 Data Interface for 312kVA Bridge PCB 005-145-6 Used Working1S&C Electric 5-153-2 DS Disconnect Receiver Board PCB 004-153-3 Used Working1P-E Perkin Elmer 7-8744 Ultek D-I Pump 17356 Incomplete Untested As-Is1Varian S4700304 TriScroll Dry Vacuum Pump Motor Assemby Untested As-Is1Nikon Optical Laser Reflector Assembly Hoya-Schott AOFS PR-SO-002 Used Working1B&R Automation 80VD100PD.C022-14 Servo Drive ACOPOS micro 100D New Surplus2DNS Dainippon Screen 5F386558 Arm Plate A5052P New Surplus1VAT 211962 Atmospheric Door Pressure Plate Kit N-7100-112 New Surplus4VAT 231376 Atmospheric Door Spare Plate Kit 233635 New Surplus2ETEL DSB2P123-111E-000H Digital Servo Amplifier Used Working8AMAT Applied Materials 0040-23525 300mm Slit Valve Door Plate New Surplus1Novellus Systems 71-291691-00 ATM Robot ARM REF PLT Fixture G2130 New Surplus1SMC XLD-100D-X862 Pneumatic High Vacuum Angle Valve ISO100 New Surplus1SMC XLD-100D-X862 Pneumatic High Vacuum Angle Valve ISO100 Used Working1Thermo Neslab HX-750 Recirculating Chiller HX750 Tested Not Working As-Is1SVG Silicon Valley Group 879-0427-001 Wafer Handling Robot 858-2457-003 Used1Pfeiffer 221AHALZR Vacuum Pump Pascal 2021i Adixen Used Tested Working7Pfeiffer 221AHALZD Vacuum Pump Pascal 2021i Adixen Used Tested Working2PASCAL 2021i Pfeiffer 221AHALZS Rotary Vane Vacuum Pump Adixen Tested Working2Greene Tweed 5641A1497SS629 Slit Valve Door Plate Used Working1AMAT Applied Materials 0041-12354 300mm Slit Valve Door New Surplus1Swagelok CBALBUQ140120-1 Vacuum Tube Fitting New Surplus1Kondoh Kohsya LM-101 Chemical Concentration Monitor DM-007 Used Working2Karl Suss PA200 Semiautomatic Probe Station1Accutron Systems TS-366a Microprocessor Temperature Controller Panel Used Working3Kondoh Kohsya PU3-PCB(KG) Power Supply Board PCB Cosel ZUW62412 Used Working2Kondoh Kohsya RY2-PCB(KG) Power Supply Board PCB Cosel ZUW101212 Used Working2Kondoh Kohsya MA3-PCB(KG) Adjustable Amplifier Interface Board PCB Used Working2Kondoh Kohsya SP3-PCB(KG) NAND Gate Interface Board PCB Used Working2DNS Dainippon Screen DS-1211-ANALOG(B) I/O PCB Module SN-2016-TRDS Used Working2DNS Dainippon Screen DS-1211-ANALOG(D) I/O PCB Module SN-2016-TRDS Used Working2Kondoh Kohsya LM-101 Chemical Monitor DM-007 Sample Port Parts T-2170B Used1Kondoh Kohsya LM-101 Chemical Monitor DM-007 Sample Port Olympus DV 185000 Used1Mitutoyo 378-856 WF 10x/24 Microscope Eyepiece Set for Finescope Used Working1Mitutoyo M Plan NUV 50 0.42 ∞/0 f=200 Near Ultraviolet Microscope Objective Used1MDX Pinnacle AE Advanced Energy 0190-25721-001 Power Supply AMAT Tested As-Is1AMAT Applied Materials 0090-09145 TC AMP Assembly Precision 5000 P5000 Used1Precise Sensors 4863-100-GA-4IM-03 0-100 PSIA Reseller Lot of 4 Used Working2APTech AP1006SV 2PW FV4 FV4 Manual Regulator Valve Used Working5Integrated Flow Systems SR4-120-F0001-S10-35 Pressure Regulator Used Working3ADTEC AXR-2000III Plasma Generator Novellus 27-360919-00 Exceeds Current As-Is2SMC US13394 Slit Valve Pneumatic Cylinder AMAT 3020-00077 Gate 0040-41892 Used1TRIVAC D16B Leybold 898 199 Rotary Vane Vacuum Pump Used Tested Working1MDC Vacuum Products GV-1500V Manual Actuator Gate Valve DN40CF Used Working2Digital Dynamics 27-053660-00N Sioc Power Supply Module Novellus Used Working1Digital Dynamics 27-152970-00N Sioc Controller Module Novellus Used Working1Digital Dynamics 27-109708-00N sioc ALTUS 2 Interlock Module Novellus Used1Digital Dynamics 02-122156-00N sioc Chassis Mezzanine Module Novellus Used1KLA Instruments 730-805248-00 P-8 Prober Optics Set of 2 TEL Tokyo Electron Used1Pearl Kogyo CF-500-400K(CE) RF Power Supply Hitachi M-712E Used Tested Working1Hitachi 560-5512 Video I/O PCB Card NMEM 560-5554 560-5555 S-9300 Used Working1TEL Tokyo Electron 2981-600373-13 CUI Board PCB Card ACT8 Used Working2TEL Tokyo Electron AP9E-0007C-11 Ghost PCI Board PCB Card ACT8 Used Working1Adaptec AHA-2940/2940U Ultra Wide SCSI PCI Controller PCB Card Used Working1TEL Tokyo Electron 2981-600371-11 FDD Connection Board PCB ACT8 Used Working1TEL Tokyo Electron P521EE R010 Backplane Board PCB E82152 ACT8 Used Working1Motorola 01-W3175F05E Motherboard PCB TEL Tokyo Electron ACT8 Used Working1Epson VC513TEL1 Motherboard PCB 640624-305 TEL Tokyo Electron ACT8 Used Working1AMAT Controller Distribution Board 0100-00523 Working2Corollary CNS-1610 16-Port SCSI Terminal Server with Floppy Drive CNS-1600 Used1Advantest BPS-030208 Liquid Cooled Processor PCB Card T2000 No Fluorinert Used1PASCAL 2021i Pfeiffer 221AHALZS Rotary Vane Vacuum Pump Adixen Tested Working2PASCAL 2021i Pfeiffer 221AHALZS Rotary Vane Vacuum Pump Adixen Tested Working1PASCAL 2021i Pfeiffer 221AGALZS Rotary Vane Vacuum Pump Adixen Tested Working1PASCAL 2021i Pfeiffer 221AGALZS Rotary Vane Vacuum Pump Adixen Tested Working1PASCAL 2021i Pfeiffer 221AHALZD Rotary Vane Vacuum Pump Adixen Tested Working1PASCAL 2021i Pfeiffer 221AHALZD Rotary Vane Vacuum Pump Adixen Tested Working1PASCAL 2021i Pfeiffer 221AHALZD Rotary Vane Vacuum Pump Adixen Tested Working3PASCAL 2021i Pfeiffer 221AHALZD Rotary Vane Vacuum Pump Adixen Tested Working1PASCAL 2021i Pfeiffer 221AHALZR Rotary Vane Vacuum Pump Adixen Tested Working4PASCAL 2021i Pfeiffer 221AHALZR Rotary Vane Vacuum Pump Adixen Tested Working4PASCAL 2021i Pfeiffer 221AHALZR Rotary Vane Vacuum Pump Adixen Tested Working5PASCAL 2021i Pfeiffer 221AHALZS Vacuum Pump Lot of 3 Adixen As-Is for Rebuild1TRIVAC D16B Leybold 160141V150-1 Rotary Vane Vacuum Pump Used Tested Working2TRIVAC D16B Leybold 160141V150-1 Rotary Vane Vacuum Pump Not Working As-Is1Omron C200HS-CPU01-E PLC Assembly SYSMAC C200HS ID212 ID215 OC225 OD215 Used2Omron C200HS-CPU01-E PLC Assembly SYSMAC C200HS 6 Module ID212 ID215 OC225 OD2151Maxon Motor 200629 Motor Control PCB Card mmc MIP with Rack & Power Supply1TEL Tokyo Electron 1D81-000009218 COM Control Card TYB 121-1/COM Used Working2TEL Tokyo Electron 1D81-000009219 COM Control Card TYB 121-1/COM Used Working2TEL Tokyo Electron 1D81-000133 ILK Control Card PCB TYB131-1/ILK Used Working4TEL Tokyo Electron 1D81-000099-C4 MAIO Control Card TYB111-1/MAIO Used Working4Hitachi Interface Card PCB I0TC-02N working2Hitachi VMPM-02N Control Board PCB Used Working1AMAT 0100-91015 Monitor Interface Board XR80 Used Working1AMAT Applied Materials 0100-01696 DECEL/FOCUS PSU Interface PCB Card Used1Spectrum B-5002 ENI B-5002-02 RF Generator AMAT 0190-15320 Tested Working Spare1ADTEC Plasma Technology AT-806 CPU Board PCB AXR-2000III-A-NV1 Working Spare1ADTEC Plasma Technology 32747101 Control Board PCB AT-814 AXR-2000III Spare1ADTEC Plasma Technology 32318302 SSC GS Board PCB AT-693A AXR-2000III Spare1ADTEC Plasma Technology 32728401 Interface I/F PCB AT-809 AXR-2000III Spare1ADTEC Plasma Technology 32356401 2Port SPL Board PCB AT-726 AXR-2000III Spare1Kikusui Electronics PMC18-2A 18V DC Power Supply TEL U2-855DD Unity II Used5Hitachi HT94219A Control PCB PI01 Working7Hitachi HT94218A Control PCB PM1 Working9SUNX LD-C60 Laser Line Sensor Controller Used Working1Oriental Motor UDX5114N-E 5-Phase Servo Driver Super Vexta Used Working1Hitachi 200mm Wafer Alignment Unit HT98311 working1Mitsubishi MR-J2-20A-S12 AC Servo Drive Used Working24Screen PC-97040A Control PCB HLS-MC1A Used Working7Screen HLS-MC2 PC-97013B Interface PCB Board Used Working7Screen PC-97019 Interface PCB HLS-MC4 Used Working1Screen HLS-MC3 PC-97014 Bridge PCB Used Working16TDK Noise Filter Lot ZRGT2210-M 250VAC working1Screen PC-97002A Control HLS-VME2 VMEbus PCB Card Used Working2Screen PC-97009 COMDIV SL-3010 PCB Card Used Working1Screen PC-97010 PMCDIV Interface SL-3020 VMEbus PCB Card Used Working5Meiden UF903 Keyboard/Mouse Extension Units Used Working1ABB A30-30-01 Contactor Lot of 4 working1PCB, 4-Channel Motor Control2Foxboro Miran 1BX Ambient Air Analyzer untested1Kollmorgen D101A-93-1215-001 Goldline Direct Drive Rotary Used Untested1Mitsubishi RV-E14NHC-SA06 Industial Robot Set with Controller CR-E356-S06 Used Unlisted1CTI-Cryogenics 8185041G002R On-Board IS 8F Cryopump Tested Working Unlisted1CTI-Cryogenics 0190-1930R On-Board IS 8F Cryopump Tested Working Unlisted1Novellus 02-259457-00 Vector Spindle Camco 50RGS4H14-270 Used Working Unlisted1AMAT Applied Materials 0010-76891 UHV 300mm Robot Endura Used Working Unlisted1AMAT Applied Materials 0010-76891 UHV 300mm Robot Endura Cover Missing Used Unlisted1AMAT Applied Materials 0021-22627 Robot Arm As-is Unlisted1ADTEC Plasma Technology 32631802 SW Display Board PCB AT-787A AXR-2000III Spare1ADTEC Plasma Technology 32326701 RF-PA5 Board PCB AT-700 AXR-2000III Spare2ADTEC Plasma Technology ACE2000-42 Power Supply Board 2208B UL AXR-2000III Spare2AE Advanced Energy 2305698-D HFV 400V Buck Converter Board PCB HVF 8000 Spare1AE Advanced Energy 1305814 D HFV 3K Measurement PCB 2305633-A Plexus HVF 80001AE Advanced Energy 2305431-B Contactor II Drive Board PCB HVF 8000 Working Spare1AE Advanced Energy 2305466-A HVF8000 Display PCB Optrex DMC-6205 HVF 8000 Spare1AE Advanced Energy 2305520-A HFV8000 Inverter Board PCB HVF 8000 Working Spare1AE Advanced Energy 1306775 D HVF 8000 Aux Supply Board PCB 2305857-B Spare1AE Advanced Energy 1305871 E HFV 8K Control DDS W/P.C. PCB 2305494-B HVF 80001AE Advanced Energy 2301260-A 186 Controller Module PCB HVF 8000 Working Spare1AE Advanced Energy 1305844-D HFV 8000 DeviceNet Board PCB 2305687-B Plexus Spare1AE Advanced Energy 1305806 HFV 8KW RF Filter PCB Assembly 2305662-B 1305831 E1ADTEC Plasma Technology AXR-2000III-A-NV1 RF Module AXR-2000III Working Spare1AMAT Applied Materials 0040-09022 Valve Housing with ISO80 to NW40 Reducer Spare2MKS Instruments 162-0040K Inline Pneumatic Isolation Valve Working Spare1SMC XLA160-30-1-M9BA Pneumatic High Vacuum Angle Valve ISO160 Copper Cu Exposed1SH-100 Varian EXSH01001UNIV Vacuum Scroll Pump Untested As-Is1KLA-Tencor AIT 2 4-Channel Motor Control Board 363251 Rev.0A working1Commtech Fastcom: 232/8-ISA PCB Card KLA-Tencor 00160502-000 Used Working1TEL Tokyo Electron P-8 Card Cage Used Working3TEL Tokyo Electron P-8 Card Cage Used Working2KLA-Tencor AIT 2 Keyboard Breakout Board 547220 Rev.AA working4AMAT Applied Materials 0090-00354 Transfer Interlock Card Used Working1AMAT Applied Materials 0090-00353 Buffer Interlock Card Used Working1RECIF Technologies ECUA00002 SA Wafer Sorter/Handler Computer As-Is4RECIF Technologies ECUA00002 SA Wafer Sorter/Handler Computer As-Is3AMAT Applied Materials 0242-21251 Endura 300CL Robot Harness Kit 3 Cables New1AMAT Main AC to Degas Cable 0150-05013 New1AMAT Applied Materials 0140-01893 PVD Robot Umbilica Harness Assembly New1AMAT Applied Materials 0140-76569 Robot Harness Assembly MF to XFR New2AMAT Endura 300mm Chamber Harness Assy 0140-06222 New1AMAT C/A Mainframe Interlock Main Cable 0150-04722 New1Pacific Scientific SC755A040-08 Servo Controller SC750 Case Damage Used Working1Pacific Scientific SC755A040-08 Servo Controller SC750 Used Working1Pacific Scientific SC755A040-08 Servo Controller SC750 Used Working1SVG Pacific Scientific Spindle Controller 121-236 Rev B1SVG Pacific Scientific Spindle Controller 121-236 Rev B5SVG Dev. Spindle Cont. CB41 With Pacific Sci. 121-142F1Hine Design 233427030 Receive Rotator Used SVG Lot of 21CTI-Cryogenics 8116250G001 On-Board P300 Cryopump Used Untested As-Is3CTI-Cryogenics 8116250G001 On-Board P300 Cryopump Used Untested As-Is1Black Box SW651A ServSwitch Wizard SSW2 Used Working2Tri-Mag TPYA45-4SF DC 50/60Hz Three Phase WYE Filter working1AMAT Applied Materials 0010-13321 8" Robot P5000 Precision 5000 Untested As-Is1Anelva A12-05110 Motor Control Unit-A Used Working1Noran Active 700P125849 Scan Interface Used Working1Anelva A12-03638 Motor Control Unit-B Used Working1Anelva Ionization Gauge MIG-072S working3Melles Griot Series 300 Diode Laser and Driver Used Working Surplus1Edwards D37215000 Vacuum Pump Flash Module Used Working1Edwards D37215000 Vacuum Pump Flash Module Used Working2Hitachi SEQ Box Power Module I900SRT Used Working1Kensington Laboratories 77-4000-6107-00 Arm Axis PCB Card HTL2A Used Working3Hitachi U01200PMQA-DS1CE Kokusai Denki Engineering Ultrasonic Generator As-Is1Hitachi U01200PMQA-DS1CE Kokusai Denki Engineering Ultrasonic Generator As-Is1Condor DC Power Supply 12V GPC225-12 tested working1Condor DC Power Supply 12V GPC225-12 tested working1Metron 215-17799-00 REAC HD Assembly Used Working1CTI-Cryogenics 8116250G001??On-Board P300 Cryopump 8113160G001 Used As-Is1Anelva A12-09242-04A Bellows Cylinder Pair 80x70 ST Lot of 2 Used Working1Anelva 832-3094-05A Bellows Cylinder Pair Used Working1Fuji Seiki 1012851 Throttle Valve ISO 100 Used Working4Hitachi 569-5518 EVAC Control Panel EVPANEL3 Hitachi S-9300 SEM Used Working5Hitachi 569-5518 EVAC Control Panel EVPANEL3 Hitachi S-9300 SEM Used Working1Hitachi 569-5518 EVAC Control Panel EVPANEL3 Hitachi S-9300 SEM Used Working1Hitachi 569-5518 EVAC Control Panel EVPANEL3 Hitachi S-9300 SEM Used Working1Xynetics-Electroglas RMHM4 Controller 247213-003 Horizon 4085X Used Working1Electroglas PSM-C Power Supply Module with PDS 4085X Horizon 200mm Used Working1CTI-Cryogenics 8116250G001 On-Board P300 Cryopump with 8113160G001 Damaged As-Is1CTI-Cryogenics 8116250G001 On-Board P300 Cryopump with 8113160G001 Damaged As-Is1Integrated I172.100 Dynamics 6 DOF Controller Used Working1Sanyo MPX-CD92 9-Channel Multiplexer Used Working1KLA-Tencor Computer Station U00874 working1Ultratech 03-15-05105 Stepper Robot I/O PCB Used Working1Ultratech 03-18-00446 Combo Transition PCB Used Working1Ultratech??03-15-04414 Stepper ILPS Controller with Interlock Used Working1Edwards E2M1 Vacuum Rotary Vane Pump As-Is1POLARA-260A ENI POLARA-260-01 Bipolar Pulsed Supply Rev. C AMAT 0190-01428 As-Is1Yaskawa VS2B Wafer Transfer Robot TEL Tokyo Electron Unity II Used Working1Yaskawa VS2B Wafer Transfer Robot TEL Tokyo Electron Unity II Used Working1TEL Tokyo Electron P-8I Fully Automatic Wafer Prober Tool P-8 Used Working1Nikon Type 4A WT Relay AMP NSR-S306C DUV 300mm Used Working1Nikon Wafer NC Pre2 Unit EX-DA6 NSR-S306C DUV 300mm System Used Working1Nikon 4B043-918 WT Voice Coil Motor VCM NSR-S307E DUV 300mm Used Working2Nikon 4B043-916 WT Voice Coil Motor VCM Set of 3 NSR-S307E DUV 300mm Used1Nikon Linear Scale Read Head NSR-S307E DUV 300mm System Used Working1Nikon WT Linear Scale Set of 3 NSR-S307E 300mm DUV Scanning System Used Working1Nikon WT Linear Scale Read Head Set of 3 NSR-S307E DUV 300mm Used Working1Nikon 4B043-917 WT Voice Coil Motor VCM NSR-S307E DUV 300mm Used Working1Tokyo Electyron TEL Pod & Tubes WLDMTPOD&TUBE New2UNIT MFC Mass Flow Controller UFC-3550 50 SLM H2 refurbished1UNIT 8560 MFC Mass Flow Controller UFC-8564 200cc PH3 new 3030-105432Unit Celerity UFC-8165 300CC Mass Flow Controller New 3030-110076UNIT Instruments UFC-8164 Mass Flow Controller MFC AMAT 3030-11005 New Surplus5UNIT Instruments UFC-8164 Mass Flow Controller MFC AMAT 3030-11005 New Surplus1Unit MFC UFC-1000 100CC Mass Flow Controller Refurbished1UNIT 8165 MFC Mass Flow Controller UFC-8165 30cc SiF4 new 3030-1100810UNIT 8165 MFC Mass Flow Controller UFC-8165 1L Ar new 3030-110061UNIT 8165 MFC Mass Flow Controller UFC-8165 3L Ar new 3030-109962UNIT 8165 MFC Mass Flow Controller UFC-8165 2L NF3 new 3030-000551UNIT 8165 MFC Mass Flow Controller UFC-8165 30L Ar new 3030-005141UNIT 8165 MFC Mass Flow Controller UFC-8165 30L O2 new 3030-005241Unit Kinetics UFC-8165 20L Mass Flow Controller New 3030-031721Unit Kinetics UFC-8165 15L Mass Flow Controller New 3030-031731Unit Celerity UFC-8165 12L Mass Flow Controller New 3030-031761Unit Celerity UFC-8165 1L Mass Flow Controller New 3030-031741Unit Celerity UFC-8165 20CC Mass Flow Controller New 3030-032621Unit Celerity UFC-8165 500CC Mass Flow Controller New 3030-037191Unit Celerity UFC-8165 50CC Mass Flow Controller New 3030-094271Unit Celerity UFC-8160 200CC Mass Flow Controller New 3030-105961Unit Kinetics UFC-8560 2L Mass Flow Controller New 3030-100371Unit Kinetics 8560 UFC-8565 500CC Mass Flow Controller New 3030-104231Unit Kinetics 8560 UFC-8565 300CC Mass Flow Controller New 3030-111991Unit Kinetics UFC-8560 300CC Mass Flow Controller New 3030-111991Unit Celerity UFC-8560 300CC Mass Flow Controller New1Unit Celerity UFC-8560 200CC Mass Flow Controller New 3030-114431Unit MFC UFC-1000HP 50CC Mass Flow Controller Refurbished1Unit MFC 9160 30CC Mass Flow Controller Refurbished1Celerity UNIT 125 Mass Flow Controller IFC-125C 7.2 SLM MFlo SC26 new 0190-163472Unit Instruments UFC-1020 Mass Flow Controller Refurbished1Unit MFC UFC-1000 25CC Mass Flow Controller Refurbished1Unit MFC UFC-1000 50CC Mass Flow Controller Refurbished with Calibration Sheet1UNIT MFC Mass Flow Controller UFC-3550 30 SLM H2 refurbished2ASM BP300-HD Ball Placer Used Working Unlisted1AMAT Applied Materials Centura Parts Used Working Unlisted1TEL Tokyo Electron Lithius Pro Vi Parts Used Working Unlisted1TEL Tokyo Electron Mounter Parts Used Working Unlisted1
在線(xiàn)詢(xún)盤(pán)/留言
  • 免責(zé)聲明:以上所展示的信息由企業(yè)自行提供,內(nèi)容的真實(shí)性、準(zhǔn)確性和合法性由發(fā)布企業(yè)負(fù)責(zé),本網(wǎng)對(duì)此不承擔(dān)任何保證責(zé)任。我們?cè)瓌t 上建議您選擇本網(wǎng)高級(jí)會(huì)員或VIP會(huì)員。
    企業(yè)信息
    廈門(mén)翔麟奕科技有限公司
    會(huì)員級(jí)別:試用會(huì)員
    ------------ 聯(lián)系方式 ------------
    聯(lián)系人:袁經(jīng)理(小姐)
    聯(lián)系電話(huà):0592-18250824218
    聯(lián)系手機(jī):18250824218
    傳真號(hào)碼:0592-18250824218
    企業(yè)郵箱:1070597493@qq.com
    網(wǎng)址:
    郵編:361102
    推薦供應(yīng)
    0571-87774297